数字系统课程设计.ppt

合集下载

数字电路设计与数字系统课程设计

数字电路设计与数字系统课程设计

数字电路设计与数字系统课程设计1. 简介数字电路是数字电子技术的核心和基础,它也是现代电子技术的重要组成部分。

数字电路设计与数字系统课程设计作为电子信息工程的一门重要课程,旨在帮助学生掌握数字电路的基本理论和设计方法,提高学生的设计和实际操作能力,培养学生的创新能力和解决问题的能力。

2. 课程内容2.1 数字电路基础知识数字电路基础知识是数字电路设计与数字系统课程设计的重要内容,包括数字逻辑基础知识、二进制数系统、BCD码和ASCII码等。

2.2 数字逻辑电路数字逻辑电路是数字电路设计与数字系统课程设计的重要内容之一,主要涉及数字逻辑门电路和数字逻辑函数电路等。

学生需要掌握数字逻辑电路的基本原理和常用设计方法。

2.3 组合逻辑电路设计组合逻辑电路是数字电路设计与数字系统课程设计的重要内容之一,主要涉及基本门电路的组合、编码器和解码器、多路选择器和多路分配器等设计。

学生需要掌握组合逻辑电路设计的基本方法和实现原理。

2.4 时序逻辑电路设计时序逻辑电路是数字电路设计与数字系统课程设计的重要内容之一,主要涉及时序电路的设计方法和实现技术。

学生需要掌握时序逻辑电路的设计方法和时序电路的分析、设计和实现。

2.5 数字系统设计数字系统设计是数字电路设计与数字系统课程设计的重要内容之一,主要涉及数字系统的设计方法、数字系统的硬件实现、数字系统的软件实现等。

学生需要掌握数字系统设计的基本理论和实际设计方法。

3. 课程实践3.1 模拟实验数字电路设计与数字系统课程设计的实践环节主要包括模拟实验和数字系统实验两个部分。

模拟实验主要涉及数字电路的仿真和调试,帮助学生掌握数字电路的基本应用和操作技能。

3.2 数字系统实验数字电路设计与数字系统课程设计的实践环节主要包括模拟实验和数字系统实验两个部分。

数字系统实验主要涉及数字系统的设计和实现,包括数字系统的硬件实现和软件实现,帮助学生掌握数字系统的设计和实现方法。

4. 课程教材数字电路设计与数字系统课程设计的教材通常包括以下内容:•《数字电路》•《数字系统设计与实现》•《数字电子技术基础》•《自动化测试与数字电路》5. 学习建议数字电路设计与数字系统课程设计是比较难的一门课程,学生需要掌握基本的数理知识和电子技术基础。

《数字电子技术基础》EDA课程设计 ppt课件

《数字电子技术基础》EDA课程设计  ppt课件

《数字电子技术基础》 EDA课程设计课件
授课教师:赵慧 华中科技大学文华学院
ppt课件
5
第一部分 《课设》概述
一、目的 ; 二、要求 ; 三、EDA技术简述; 四、数字系统的实现 ; 五、小型数字系统设计方法 ; 六、撰写《课设》报告格式及要求 ; 七、 《课设》注意事项 。
ppt课件
6
第一部分 课程设计概述
ppt课件
7
一、课程设计目的
一、目的:
⒈ 课程设计是一实践教学环节,是针 对《数字电子技术基础》课程的要求对 学生进行综合性训练,在自学和实践训 练中培养学生理论联系实践,独立地解 决实际问题;与此同时熟悉和了解现代 EDA技术 。为后续课程学习和工作打下 实践基础。
ppt课件
8
一、课程设计目的
ppt课件
19
四、数字系统的实现
四、数字系统的实现
⑴ 缩小体积、减轻重量、降低功耗;
⑵ 提高可靠性,用ASIC芯片进行系统集成后外
部连线减少,因而可靠性明显提高;
⑶ 易于获得高性能,ASIC是针对专门应用而特
别设计的;系统设计、电路设计、工艺设计之
间紧密结合,这种一体化的设计有利于获得前
所未有的高性能系统;
⒌ 熟悉培养严肃认真的工作作风和严谨 科学态度。
ppt课件
12
三、EDA技术简述
三、EDA技术简述
EDA是电子设计自动化(Electron Design Automatio)
目前电子技术的发展使电子系统越来越来 复杂,传统的手工和简单工具已无法满足设计 需求,因而利用计算机和相应的设计软件成为 当前常用的设计方法。数字系统设计的发展日 新月异,数字系统设计的理念和设计方法在过 去的几十年时间也发生了深刻的变化,

数字逻辑与数字系统设计课程设计

数字逻辑与数字系统设计课程设计

数字逻辑与数字系统设计课程设计一、课程设计背景数字逻辑与数字系统设计课程介绍了数字电路的基本概念、设计和分析方法。

数字逻辑是电子技术中非常重要的一部分,广泛应用于计算机、通信、自动化控制、计算器、游戏机等电子产品。

通过本课程的学习,学生将掌握数字逻辑和数字系统设计的基本原理和方法。

二、课程设计内容本次数字逻辑与数字系统设计课程设计主要分为以下几个部分:1.实验一:Karnaugh图和逻辑多路选择器设计实验2.实验二:数字逻辑电路的组合设计实验3.实验三:数字电路的时序设计实验4.实验四:数字系统设计实验5.实验五:数字逻辑综合设计实验实验一:Karnaugh图和逻辑多路选择器设计实验通过本实验,学生将学会运用Karnaugh图方法设计简单的逻辑电路,掌握最小化布尔函数的方法。

同时,学生将学习多路选择器的设计方法,掌握多路选择器的应用技巧。

实验二:数字逻辑电路的组合设计实验通过本实验,学生将学习的是数字逻辑电路的组合设计方法,包括基本逻辑门和复杂逻辑电路的设计技术。

同时,学生还将掌握基本电路的仿真方法,通过仿真软件对电路进行验证。

实验三:数字电路的时序设计实验在本实验中,学生将掌握数字电路的时序设计方法,了解时序电路的作用、分类和基本原理。

同时,学生将学习数字电路时序仿真的方法,能够进行基本时序电路模拟。

实验四:数字系统设计实验在本实验中,学生将学习数字系统设计的基本方法和过程,包括总体结构设计、输入输出接口的设计、存储器的设计等;同时,学生还将了解数字系统的仿真和测试方法,对设计的数字系统进行仿真和测试。

实验五:数字逻辑综合设计实验在本实验中,学生将通过数字逻辑综合设计,掌握数字逻辑综合应用技巧,并能够在实践中学习根据需求进行电路综合的方法。

三、课程设计特点本次数字逻辑与数字系统设计课程设计不仅注重理论教学,更加强调实践教学,特点如下:1.注重实验教学,对学生的动手能力和实践能力进行提高。

2.充分利用仿真软件进行电路设计和验证,使学生在熟悉实际电路设计方法的同时,也能提高计算机仿真的技能和水平。

独立学院数字系统课程设计教学改革

独立学院数字系统课程设计教学改革

数字 系统课程设计( 以下简称课设) 电类专业一 是 门重要 的实践课程, 对学生打好专业基础 , 强数字系 加 统设计 能力 、现代工程实践能力和科学创新能力有着 不容小觑 的作用 。因此, 通过教学改革( 以下简称教改)
以确 保 课 设 教 学质 量 是 十 分 必 要 的, 革 可 从 以下 4 改 个
2 1年第1期 0 1 5 总 第 17 2 期
中 砚戒 袭 国 孝 备
SN49-T8 C171 S124 N694/ 1 3

独立学院数字系统课程设计教学改革
祝 宏 朱如 琪
4 0 7 3 04 华 中科技大学文华学 院 湖北武汉

要:指出了数字系统课程设计教 学改革的必要性,并在结合独立学院 的实际情况下 ,给 出了教学 改革 的具体措施 ,以
力 求 提 高 这 门 实践 课 程 的教 学质 量 。
关键词:独立 学院;数字系统;课程设计 ;教学改革
Te c i e o m n d g t l yse c u s e i n i e n e tc le e a h ngr f r o i i t m o r ed sg i pe d n o l g s as n nd
Zh n . uRu i u Ho g Zh q
Hua h g u ve st o ce ea e h l g w e uac l g , u n, 0 4, z on ni r i y fs inc ndt c no o y nh ole e W ha 43 07 Chi na
平 的创 新 型 和研 究 型 实验 项 目, 并能 编 写 出适 合 独 立 学
院实 际情 况 的、有 特 色 的课 设 教材 。 1 借 助 网 站 . 5 借 助 课 设专 属 教 学 网站 。积 极 发挥 课 设 网站 的桥 梁 作用 , 定 期收 集 的 国 内外 最 新相 关 资 讯 和 资源 放 置 将

数字城管系统课程设计

数字城管系统课程设计

数字城管系统课程设计一、教学目标本课程旨在通过数字城管系统的学习,让学生掌握城市管理的基本概念、数字城管系统的组成、工作原理和应用。

在知识目标方面,学生应了解城市管理的基本理论和方法,理解数字城管系统的架构和功能。

在技能目标方面,学生应学会使用数字城管系统,能够进行简单的数据分析和处理。

在情感态度价值观目标方面,学生应认识到数字城管系统在城市管理中的重要作用,理解信息技术对提升城市管理水平的意义,培养学生的社会责任感和服务意识。

二、教学内容本课程的教学内容主要包括四个方面:数字城管系统的基本概念、数字城管系统的组成、数字城管系统的工作原理和数字城管系统的应用。

其中,数字城管系统的基本概念主要介绍城市管理和数字城管系统的定义、特点和分类;数字城管系统的组成主要介绍数字城管系统的硬件和软件部分;数字城管系统的工作原理主要介绍数字城管系统的工作流程和数据处理方法;数字城管系统的应用主要介绍数字城管系统在城市管理中的具体应用案例。

三、教学方法为了提高教学效果,我们将采用多种教学方法相结合的方式进行教学。

包括讲授法、讨论法、案例分析法和实验法。

讲授法主要用于讲解数字城管系统的基本概念、组成和工作原理,使学生能够全面、系统地掌握数字城管系统的理论知识。

讨论法主要用于讨论数字城管系统的应用案例,激发学生的思考,培养学生的分析问题和解决问题的能力。

案例分析法主要用于分析具体的数字城管系统应用案例,使学生能够将理论知识与实际应用相结合。

实验法主要用于让学生动手操作数字城管系统,提高学生的实践能力和创新能力。

四、教学资源为了支持本课程的教学,我们将准备丰富的教学资源。

教材方面,将选择权威、实用的教材,确保学生能够获得最新的知识。

参考书方面,将提供相关的学术著作、政策文件和报道,帮助学生深入了解数字城管系统的背景和发展。

多媒体资料方面,将制作生动的PPT课件,提供相关的视频资料,增强课堂教学的趣味性和直观性。

实验设备方面,将准备数字城管系统的模拟设备和软件,确保学生能够进行实际的操作和实验。

《数字系统设计》PPT课件

《数字系统设计》PPT课件

慎重地加以选择。总的原则是,所选择的方案既要能满足系统的
要求,又要结构简单,实现方便,具有较高的性能价格比。
a
7
第7章 数字系统设计
2. 逻辑划分,导出系统框图
系统总体方案确定以后,可以根据数据子系统和控制子系统 各自的功能特点,将系统从逻辑上划分为数据子系统和控制子系 统两部分,导出包含有必要的数据信息、 控制信息和状态信息的 结构框图。逻辑划分的原则是, 怎样更有利于实现系统的工作原 理,就怎样进行逻辑划分。 为了不使这一步的工作太过复杂,结 构框图中的各个逻辑模块可以比较笼统、比较抽象,不必受具体 芯片型号的约束。
a
5
第7章 数字系统设计 7.1.2 数字系统设计的一般过程
系统调研 ,确定总体 方案
逻辑划分 ,导出系统 框图
功能分解 ,构造数据 子系统
算法设计 ,实现控制 子系统
图 7 - 2 数字系统设计过程
a
6
第7章 数字系统设计 1. 系统调研, 确定总体方案
接受一个数字系统的设计任务后,首先应对设计课题进行充
第7章 数字系统设计
第7章 数字系统设计
7.1 数字系统设计概述 7.2 控制子系统的设计工具 7.3 控制子系统的实现方法 7.4 数字系统设计举例
a
1
第7章 数字系统设计
7.1 数字系统设计概述
1. 什么是数字系统
在数字电子技术领域内,由各种逻辑器件构成的能够实现某
种单一特定功能的电路称为功能部件级电路,例如前面各章介绍
分的调研, 深入了解待设计系统的功能、使用环境与使用要求,
选取合适的工作原理与实现方法,确定系统设计的总体方案。 这
是整个设计工作中最为困难也最体现设计者创意的一个环节。因

数字逻辑与数字系统课程设计简单计算器

数字逻辑与数字系统课程设计简单计算器

简单计算器一、设计分析1、功能描述设计一个简单0-9数之间的加、减、乘法运算的计算器,,输入和输出均可以显示在数码管上。

2、实现工具1、用VHDL 语言文本形式输入;2、maxplusII行语言编写时序仿真和综合。

二、设计思想采用自顶向下的设计方式,分层进行设计。

设计分为五个模块进行;计算器模块、八位二进制数转化成8421BCD码模块,四选一数据选择器模块,七段显示译码器模块、模4计数器模块、模8计数器块、3—8译码器块。

顶层设计可以完全独立于目标器件芯片物理结构的硬件描述语言。

使用VHDL模型在所综合级别上对硬件设计进行说明、建模和仿真。

1、顶层原原理框图2、具体实现1、计算器模块、2、八位二进制数转化成8421BCD码模块3、四选一数据选择器模块4、七段显示译码器模块5、模4计数器模块6、模8计数器块7、3—8译码器块三、设计过程1、建立工程建立一个Project,命名为jiandanjisuanqi。

将各个模块生成的文件放在同一个文件夹下。

2、文本输入将各个模块的VHDL代码输入,保存并综合。

3、仿真建立各个模块的gdf图,设置输入波形并仿真。

4、顶层原理图输入利用各个模块生成的sym文件建立顶层原理图,编译并仿真。

5、硬件实现实验室提供的器件为FLEX10K,型号为EPF10K10LC84-4,将文件下载到器件当中,在实验箱中进行模拟。

四、整体框图五、VHDL部分代码及说明1、计算器模块、library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity jisuanqi isPort (a,b: in STD_LOGIC_VECTOR (3 downto 0);sel:in STD_LOGIC_VECTOR (1 downto 0); -----加减乘控制端s: out STD_LOGIC_VECTOR (7 downto 0));end jisuanqi;architecture Behavioral of jisuanqi issignal q1 ,q2: STD_LOGIC_VECTOR (3 downto 0);signal q3: STD_LOGIC_VECTOR (7 downto 0);signal q4: STD_LOGIC_VECTOR (1 downto 0);beginq1<=a;q2<=b;q4<=sel;process(q4,q3)begincase q4 iswhen "00" => ----加减乘算法q3<=q1+q2;s<=q3;when "01" =>if(q1>q2)thenq3<= q1-q2;s<=q3;elseq3<=q2-q1;s<=q3;end if;when "10"=>q3<=q1*q2;s<=q3;when "11"=>q3<=q1*q2;s<=q3;when others=>q3<="00000000";s<=q3;end case;end process;end Behavioral;2、八位二进制数转化成8421BCD码模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity bcd isPort (s : in STD_LOGIC_VECTOR (7 downto 0);a : out STD_LOGIC_VECTOR (3 downto 0);b : out STD_LOGIC_VECTOR (3 downto 0));end bcd;architecture Behavioral of bcd issignal q0: STD_LOGIC_VECTOR (7 downto 0);signal q1: STD_LOGIC_VECTOR (3 downto 0);signal q2: STD_LOGIC_VECTOR (3 downto 0);beginprocess(s)beginq0<=s;case q0 is ----把八位二进制数转化为8421BCD码when"00000000"=>q1<="0000";q2<="0000";when"00000001"=>q1<="0000";q2<="0001";when"00000010"=>q1<="0000";q2<="0010";when"00000011"=>…………………………….3、四选一数据选择器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity mux4_1 isport(d0,d1,d2,d3 :in std_logic_vector(3 downto 0);q :out std_logic_vector(3 downto 0);sel :in std_logic_vector(1 downto 0) );end mux4_1;architecture rtl of mux4_1 isbeginprocess(sel)begin ------实现从四个数据中选择一个出来if(sel = "00") thenq<=d0;elsif(sel = "01")thenq<=d1;elsif(sel = "10")thenq<=d2;elsif(sel = "11")thenq<=d3;end if;end process;end rtl;4、七段显示译码器模块library ieee;use ieee.std_logic_1164.all;entity bcd_7dis isport (bcdm: in std_logic_vector(3 downto 0);a,b,c,d,e,f,g : out std_logic);end bcd_7dis;architecture art of bcd_7dis issignal w : std_logic_vector(6 downto 0);beginprocess(bcdm)begina<=w(6);b<=w(5);c<=w(4);d<=w(3);e<=w(2);f<=w(1);g<=w(0);case bcdm is -----实现8421码转化为2进制码的转换when "0000" =>w<="1111110";when "0001" =>w<="0110000";when "0010" =>w<="1101101";when "0011" =>w<="1111001";when "0100" =>w<="0110011";when "0101" =>w<="1011011";when "0110" =>w<="1011111";when "0111" =>w<="1110000";when "1000" =>w<="1111111";when "1001" =>w<="1111011";when "1100" =>w<="0000001";when others =>w<="0000000";end case;end process;end art;5、模4计数器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity mo4 isport(q :out std_logic_vector(1 downto 0);clk :in std_logic);end mo4;architecture rtl of mo4 issignal qcl : std_logic_vector(1 downto 0);beginprocess(clk)begin ----实现模为4的计数if(clk'event and clk = '1')thenif(qcl = "11")thenqcl <= "00";elseqcl <= qcl + '1';end if;end if;q <= qcl;end process;end rtl;6、模8计数器块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_8 isport( clk:in std_logic;ql :out std_logic_vector(2 downto 0));end count_8;architecture rt1 of count_8 issignal qcl:std_logic_vector(2 downto 0);beginprocess(clk)begin ---- 实现模8的计数if(clk'event and clk='1') thenif (qcl="111") thenqcl<="000";elseqcl<=qcl+'1';end if;end if;ql<=qcl;end process;end rt1;7、3—8译码器块library ieee;use ieee.std_logic_1164.all;entity decode3_8 isport(d :in std_logic_vector(2 downto 0);y :out std_logic_vector(7 downto 0));end decode3_8 ;architecture rt1 of decode3_8 isbeginprocess(d)begincase d is ------实现3对8的译码when "000"=>y<="10000000";when "001"=>y<="01000000";when "010"=>y<="00100000";when "011"=>y<="00010000";when others=>y<="00000000";end case;end process;end rt1;六、各模块仿真结果1、计算器模块2、八位二进制数转化成8421BCD码模块3.、四选一数据选择器模块4、七段显示译码器模块5、模4计数器模块6、模8计数器块7、3—8译码器块8、整体仿真七、管脚锁定及硬件实现1、管脚锁定2、文件下载将文件下载完后在硬件实验箱中进行仿真检查。

DigitalFundamentals第9版课程设计 (2)

DigitalFundamentals第9版课程设计 (2)

Digital Fundamentals 第9版课程设计介绍这份课程设计是面向工科专业的本科学生的电子基础课程设计,主要涵盖数字电子学、数字系统设计等内容。

我们所使用的教材为Thomas L. Floyd的《 Digital Fundamentals》第9版。

本课程旨在让学生掌握数字电子学的基本原理和方法,以及数字系统设计的基本知识和技能。

通过课程设计,学生可以应用所学的知识和方法,设计并实现一个数字系统,从而提高他们的实践能力和创新能力。

课程目标1.理解数字电子学的基本原理和方法,包括布尔代数、逻辑门、组合逻辑和时序逻辑等。

2.掌握数字系统设计的基本知识和技能,包括数字系统的设计流程、硬件描述语言、数字电路的设计与仿真等。

3.熟悉数字系统的应用和发展趋势,包括数字信号处理、计算机系统结构、嵌入式系统等。

4.通过课程设计,提高学生的实践能力和创新能力,培养学生的团队合作精神和实际操作能力。

课程大纲第一章数字电子学基础•布尔代数•逻辑门•基本逻辑功能的实现•组合逻辑电路•时序逻辑电路•数字系统的设计流程第二章数字信号处理•采样和量化•脉冲调制技术•数字滤波器•数据压缩第三章计算机系统结构•中央处理器•存储器•输入输出设备•总线第四章嵌入式系统•嵌入式计算机的结构和功能•嵌入式软件设计•嵌入式系统的应用和趋势课程设计课程设计目标本课程设计旨在让学生掌握数字电子学和数字系统设计的基本知识和技能,能够独立完成一个数字系统的设计和实现。

课程设计内容1.数字系统的需求分析和规格说明书编写。

2.硬件描述语言的学习和使用,包括VHDL或Verilog等。

3.数字电路仿真和验证,包括基于EDA工具的仿真和调试等。

4.数字系统的实际设计和验证,包括原型机的制作和调试等。

5.数字系统的性能分析和测试,包括功耗分析和时序分析等。

课程设计步骤1.确定课程设计的主题和方向,并进行需求分析和规格说明书编写。

2.学习硬件描述语言,并运用其进行数字电路的设计和仿真。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2、按照范例制作电路,并编写相应的软件,实现遥控器功能。 3、撰写课程设计报告,报告要求为:
1〉字数:3000—5000字 2〉要求手写报告,不接受打印复印文档 3〉报告格式:
(1)题目
(2)姓名、班级、学号 (3)设计内容 (4)电路原理 (5)器件选择 (7)调试方法和注意事项 (8)心得体会 (9)参考文献
信号才会动作,所以在控制时,发射机按钮必需压0.5秒以上的时间,以确保工作 正常。
本机的制作非常简单,只要零件正常,安装正确,成功率应是百分之百,若安 装后有问题,首先应详细检查零件安装是否有误,确认后检查印板是否有短路、开 路,以及焊接是否有误,然后接上电源再重新调整。
样例的设计,画电路图并给出设计说明。
六楼低频,数字、线路实习实验室
8:30~15:30 00411/2/3/4/5/00431 硬件焊接
六楼低频,数字、线路实习实验室
8:30~15:30 00411/2/3/4/5/00431 硬件调试,晚上写总结报告 六楼低频,数字、线路实习实验室
8:30~15:30 00411/2/3/4/5/00431 硬件调试,晚上写总结报告 六楼低频,数字、线路实习实验室
9:00~9:20 00931
领元器件
实验大楼726
9:20~9:40 00932
领元器件
实验大楼726
9:40~10:00 00933
领元器件
实验大楼726
10:00~10:20 00421
领元器件
实验大楼726
10:20~10:40 00422
领元器件
实验大楼726
8:30~15:30 00411/2/3/4/5/00431 硬件焊接
本机所用零件见零件表,LED在接收信号指示时使用绿色,其他用红色来区别,晶体 管除9013外,其他NPN均可代用,电阻全部为1/8W,电容器耐压10V以上均可用,但为 消除继电器接点打火专用的电容器0.01—0.1耐压必须在250V以上,以策安全,发射部 分的外壳可使用小型盒子改装,以手持操作方便为原则,如肥皂盒等均适用,接收机的外 壳,只要大小适中不限材料。
(A)发射部份 由两组二输入的与非门 (1C4A、4B)构成非稳态多谐振荡 器,4.7K+VRIK电阻和 0.001UF电容决定其振荡频率,再由另两组(4C,4D)驱动超声波换能器SQ-4T发射约 40KHz的信号。振荡信号经晶体管的放大,推动LED发光。IC4第一脚,接10K电阻保 持于“0”状态,当第一脚接”1”时,振荡器开始工作。电源采用9V电池。
五、调试方法 1、将接收机可变电阻向右顺时针方向转到底。 2、接收与发射器均接上电源。 3、将接收与发射器相距1米相对放置(使超声波换能器相对)。 4、一直按住发射器的开关,此时发射器的LED会发亮,然后调整发射器VR直到
接收机上信号接收(绿) 的LED发光为止。 5、每隔2秒按一次开关, 此时接收机上220V ON(红)LED应依序亮一熄一亮一熄,
课程设计时间安排
日期 9月 8日(星期一) 9月9日(星期二)-9月12日
时间 9:50~11:50
班级 00931/2/3/421/422 00931/2/3/421/422
安排 布置任务、讲解 查阅资料,撰写设计报告
地点 电四西
9月12日(星期五) 9月12日(星期五) 9月12日(星期五) 9月12日(星期五) 9月12日(星期五) 9月15日(星期一) 9月16日(星期二) 9月17日(星期三) 9月18日(星期四) 9月19日(星期五) 9月19日(星期五) 9月19日(星期五) 9月19日(星期五) 9月19日(星期五)
此即表示继电器ON/OFF动作正常。 6、将距离拉至3米,并重复调整4、5项。 7、将接收机的SVR回转约1/5,如转到太右方,工作将呈现不稳定,反之则灵敏
度不足。 8、经以上程序若一切正常即调整完毕。 ·
六、 使用方法与注意事项 为防止因杂讯所引起的误动作,接收机在设计上即必需接受连续0.4秒以上的
四、制作要领
IC最好装上IC座,注意二极管、IC、电解电容方向,必须确认后方能焊上,超声波换 能器发射与接收是分开的,外壳焊在一起的引脚是GND,不要装反,LED、超声波换能器、
开关可以装在外壳上再以引线焊在印板上,实际的装配可参考图一,变压器可直接斜装在 印板上或固定于机壳上也可以用电池供电,注意电源极性。在印板四角空白位置可钻4个30 的孔位,以作固定, 但注意别太靠近220V IN位置,以免危险。
(B)接收部分 超声波接收换能器SQ-4R收到40KHz的超声波信号, 经 VR(10KΩ)后由3组反相器
(IC2F、2E、2D)作信号放大,再经2组反相器(2C,2B)作波形的整形,一有超声波信号输 入,IC2(CD4069)第4脚即呈现‘1’,输出经9013放大后,信号接收(绿)的LED即发亮(接收 表示)。下一级是由两组D型触发器(CD4013)组成,在D端输入‘1’的条件下,CLK端加入 Clock Pulse(时钟脉冲)由IC3A、3B组成的非稳多谐振荡器来完成振荡周期决定于1.5MΩ 电阻与0.033uF电容,D型触发器在此周期的正沿动作;最后由一组反相器与两组与非门组 成T型触发器,以完成开/关的动作。 IC3的第10脚,输出端接两个晶体管,一个推动继电器,另一个推动LED,以作为AC220V ‘开’的表示。 三、器件选择
数字系统课程设计
一、课程设计题目
超声波遥控器
二、设计内容 超声波系介于高于人耳能听到的声波频率和低于长波频率的约1OKHz的频带之间,
由于声波传输和反射的特点,可以进行无指向遥控,成为遥控器的主流之一。超声波 的发射与接收。 必须经由高频特性优良的物体作介质,现在高性能超声波已经非常普 及,利用CMOS IC组成非稳态多谐振荡器,再经过超声波换能器的驱动即可发射。 由于超声波频率范围包含了掌声,金属敲击声等杂音,为了防止误动作发生,所以选 择40KHz的超声波换能器,并使接收器在接收 0.5秒以上的信号后才会动作,以免受 到短暂噪声的干扰。 三、电路原理
相关文档
最新文档