基于EDA的自动售货机系统的设计开题报告范文
EDA课程设计 自动售货机控制系统(DOC)

电子课程设计——自动售货机控制系统的设计学院:电子信息工程学院专业、班级:通信131503班姓名:学号: 2013150303指导老师:李斌2 0 1 5 年 1 2 月目录绪论 (2)一、设计任务与要求 (3)二、总体框图 (3)框图 (3)设计思路 (3)三、器件选择 (4)四、功能模块 (4)1、分频器模块 (4)2、数码管显示模块 (5)3、取货模块 (7)五、总体设计电路图 (8)1、总体设计电路原理图 (8)2、管脚分配表 (9)3、仿真图 (9)六、心得体会 (10)七、参考文献 (10)自动售货机控制系统的设计绪论近年来,集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展,电子设计自动化EDA技术成为电子设计工程师的新宠。
EDA技术以计算机为工具完成数字系统的逻辑综合、布局布线和设计仿真等工作。
电路设计者只需要完成对系统功能的描述,就可以由计算机软件进行系统处理,最后得到设计结果,并且修改设计方案如同修改软件一样方便。
利用EDA工具可以极大地提高设计效率。
本设计是一套结构简单,操作方便,性能可靠的自动饮料售卖机产品。
自动饮料售卖机电路设计采用选择商品电路、投币累加电路、统计卖出商品电路等四个基本电路构成。
自动售货机在接受投入钱币后,通过与商品价格比较,确定是否能够售出商品和把算出剩余的钱找回。
当商品输出后售货机自动把上次卖出商品的价格和投入的钱币的总和清零,这时才可以进行下次交易。
自动售货机还应满足商家查看销售商品的总和及使其清零,和控制该售货机进行整体复位。
该售货机可以帮助商家更好的销售商品,并且节省人力,获得更大的利润自动饮料售卖机是能够根据投入的钱币自动取出饮料的机器,它是商业自动化的常用设备,不受时间、地点的限制,能节省人力、方便交易。
现代的自动饮料售卖机的种类、结构和功能依出售的饮料种类而异。
钱币装置是自动饮料售卖机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。
一种新型自动售货机控制系统研发的开题报告

一种新型自动售货机控制系统研发的开题报告开题报告:题目:一种新型自动售货机控制系统研发背景:随着社会的发展,人们的消费观念也发生了巨大变化,越来越多的人选择使用自动售货机完成购物。
在当前市场上,自动售货机的种类繁多,但是仍然存在许多问题,比如售货机的运行效率低,不能很好地满足顾客需求等。
因此,研发一种新型自动售货机控制系统,具有重要的现实意义和商业价值。
目的和意义:本项目旨在研发一种新型自动售货机控制系统,能提高售货机的运行效率和满足顾客的购物需求。
这将有利于推动自动售货机的发展,并且可以在很多场合中应用。
例如,可以在商场、地铁站、酒店等高人流量场合中使用。
新型系统的研发,对商业领域的发展和人们的生活产生积极影响。
研究内容:本项目的研究内容主要包括以下几个方面:1. 设计一种新型的自动售货机控制系统,基于嵌入式技术和物联网技术,实现自动售货机的智能化控制;2. 开发一套完整的售货系统,包括货道控制、支付和账单管理、销售分析和数据管理等功能;3. 使用基于机器学习的算法,针对售货机内的商品销售数据进行分析和预测,提前进行货道的调整和管理,最大化售货机的收益。
计划进度:本项目的研究预计分为以下几个阶段:1. 系统设计和方案评估,预计时间为2周;2. 系统架构设计和嵌入式软件开发,预计时间为6周;3. 数据分析与预测算法研究和开发,预计时间为8周;4. 前期系统测试和优化,预计时间为2周;5. 系统整体测试和优化,预计时间为4周;6. 准备开发文档和技术报告,预计时间为2周。
参考文献:1. 王振国,王文舟,王宇红. 基于单片机控制的自动售货机设计[J]. 自动化技术与应用, 2015(5): 68-69.2. 张明,孙峰,蒋云涛. 售货机物联网系统设计[J]. 电子信息工程, 2015(3): 68-70.3. 朱文哲,郝立群. 垂直式自动售货机分析与优化设计[J]. 自动化与仪表,2017(6): 92-94.结论:本项目旨在研发一种新型的自动售货机控制系统,以提高售货机的运行效率和满足顾客需求为目的。
EDA实验报告---自动售货机

自动售货机的设计一.实验内容:本自动售货机系统,结合EDA技术,采用ALTERA公司可编程逻辑器件(CPLD)EPM7128SLC84-15芯片作为控制核心,再配以必要的外围电路从而实现只销售两种饮料,售价均为15元,可以找零和退币等功能的EDA应用系统。
芯片的设计是以MAX_PLUS II 作为开发平台,VHDL语言作为开发语言,使用掌宇公司CIC_310 CPLD/FPGA数字开发系统完成软件测试。
自己制作下载板与外围电路。
二.实验分析:本自动售货机只销售两种罐装饮料。
售价均为15元。
顾客可以由两个不同投币孔分别投入3个5元硬币或2个10元硬币。
一次交易只能买一罐,且自动找零。
只要按下取消键就会马上无条件退币。
本设计的硬件电路用2个按键电路代替2个投币孔,以LED点亮的数量显示各币种的投入数量,在以4Hz闪烁的LED来显示退币种类与数量。
因为投币是计次电路,应先经过抖动消除电路处理。
本设计采用1000Hz的系统时钟信号来控制所有买卖行为。
系统中设计的复位键为管理人员所用,并未在用户界面中设计。
三.系统设计流程图:四.自动售货机外观图:(为指示灯)五.电路原理图六.PCB图:根据PROTEL99画的系统原理图,成生PCB图。
然后进行布局与布线。
注意:布线时将晶振这种易产生噪声的器件的输出端与芯片时钟输入端的连线尽量布为直线;将电源与地线的宽度定为30mil到100mil之间。
本系统的电流不是很大,所以不用将板子的两面分别布成电源与地。
七.系统实物图:八.软件系统框图九.源程序:1.产生系统频率和退币信号电路首先要根据硬件电路的晶振频率确定分频系数,先产生系统频率,在进一步分频产生退币信号。
由于掌宇公司的开发系统上的晶振频率为20MHz,所以要分频系数分别为20000(COUNT)和250(COUNT1)。
(注:由于在自制的下载板上使用了1MHz的有源晶振,所以把程序中的COUNT的范围改为0到1000即可。
基于EDA的自动售货机设计

基于EDA的自动售货机设计自动售货机是一种现代化的售货方式,通过使用电子技术和自动化技术,实现了商品的自动销售和支付,不需要人工操作。
近年来,随着人们生活水平的提高和对便利性的需求增加,自动售货机得到了广泛应用。
本文将基于EDA(工程设计分析)原理分析自动售货机的设计。
首先,自动售货机的设计需要考虑产品的可靠性和安全性。
在电子设备的设计中,可靠性是非常重要的一项指标。
因此,在设计自动售货机时,需要选择高质量的电子元件和可靠的电路设计。
此外,还需要考虑到售货机的防护措施,如防水、防火和防盗等,以确保产品的安全性。
其次,自动售货机的设计还需要考虑用户体验。
一个良好的用户体验将增加用户对产品的满意度和使用率。
因此,在设计自动售货机时,要考虑到用户的视觉、听觉和触觉等感官体验,提供简洁明了的界面和操作方式。
同时,还要设计合理的空间布局,使得用户能够方便地选择商品和完成支付。
另外,自动售货机的设计还需要兼顾经济性和环境友好性。
在选用材料时,要选择成本合理、耐用和易于维修的材料。
在节能和环保方面,要考虑到自动售货机的能耗和废物处理,选择节能设备和环保材料,减少对环境的影响。
此外,自动售货机的设计还需要兼顾后期维护和管理的便利性。
自动售货机使用过程中,可能会出现商品缺货、设备故障等情况,因此,设计应考虑到便于人工维修和设备管理。
可以采用远程监控和故障自诊断技术,及时了解设备状态和故障信息,减少人工维修的成本和工作量。
在自动售货机的设计过程中,可以采用EDA原理进行工程设计分析。
EDA是通过计算机技术和计算模型对工程设计进行仿真和优化的方法。
可以通过建立模型,进行电路和电子元件的选择和优化,以提高整体性能和可靠性。
此外,还可以使用EDA工具进行电子电路的设计和分析,帮助工程师更好地完成整体设计。
综上所述,基于EDA的自动售货机设计需要考虑到可靠性、安全性、用户体验、经济性、环境友好性和后期维护管理的便利性。
通过合理的工程设计分析和EDA工具的辅助,可以提高自动售货机的设计质量和成本效益。
eda课程设计报告报告自动售货机的设计

电子信息科学与技术专业课程设计任务书一.设计说明根据要求可自动出售两种货物,本文设计的自动售货机可销售cola 和pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。
另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。
1.1.1 流程说明本文设计的自动售货机当通电时,表示一次销售的开始。
顾客选择一种商品或多种商品后就进入投币状态。
若不投币,则自动返回初始状态。
投币后,系统自动计算所投钱数。
若投币够,则出货并找零。
若投币不够,如果顾客没有继续投币,则退币并回到初始状态。
本系统的投币销售流程图如图所示:1.1.2各模块说明本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块、BCD 码译码模块。
总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。
其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。
该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。
二进制译码模块:该模块有一个输入端口和两个输出端口。
输入端口是一个8位的二进制数输出端口bcd0、bcd1是两个4位的BCD码。
该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD 码,以便输出到七段数码管上显示出来。
该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。
BCD码译码模块:该模块有一个输入端口和一个输出端口1.1.3 程序设计主控模块完整程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shouhuoji isport(clk: in std_logic;coin1:in std_logic;coin5:in std_logic;cola:in std_logic;pepsi:in std_logic;paid:out std_logic_vector(7 downto 0);needed:out std_logic_vector(7 downto 0);success:out std_logic;failure:out std_logic;showmoneyout:out std_logic;moneyout:out std_logic_vector(7 downto 0));end shouhuoji;architecture behav of shouhuoji istype state_type is (qa,qb,qe,qc,qg,qd,qf);--定义七个状态signal current_state :state_type:=qa;signal q:integer range 0 to 100;beginprocess(clk)variable paidtemp:std_logic_vector(7 downto 0);--定义变量variable neededtemp:std_logic_vector(7 downto 0);variable backmoney:std_logic_vector(7 downto 0);variable pricetemp:std_logic_vector(7 downto 0);beginif clk'event and clk='1' thencase current_state iswhen qa=>paidtemp:="00000000";neededtemp:="00000000";backmoney:="00000000";pricetemp:="00000000";q<=0;showmoneyout<='0';moneyout<="00000000";paid<="00000000";needed<="00000000";failure<='0';success<='0';if cola='1' or pepsi='1' then current_state<=qb;if cola='1' then pricetemp:=pricetemp+"00001111";neededtemp:=pricetemp;Elsepricetemp:=pricetemp+"00010100";neededtemp:=pricetemp;end if;end if;paid<=paidtemp;needed<=neededtemp;when qb=>if coin1='1' or coin5='1' thenif coin1='1'then paidtemp:=paidtemp+"00001010";elsepaidtemp:=paidtemp+"00000101";end if;if paidtemp>=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:="00000000";current_state<=qd;else neededtemp:=pricetemp-paidtemp;backmoney:="00000000"; current_state<=qc;q<=0;end if;paid<=paidtemp;needed<=neededtemp;end if;if q<8 then q<=q+1;if cola='1' or pepsi='1'then q<=0;if cola='1' then pricetemp:=pricetemp+"00001111"; neededtemp:=neededtemp+"00001111";elsepricetemp:=pricetemp+"00010100"; neededtemp:=neededtemp+"00010100";end if;paid<=paidtemp;needed<=neededtemp;end if;else current_state<=qe;q<=0;end if;when qe=>failure<='1';if q<4 then q<=q+1;else current_state<=qa;q<=0;end if;when qc=>if coin1='1' or coin5='1' thenif coin1='1'then paidtemp:=paidtemp+"00001010";elsepaidtemp:=paidtemp+"00000101";end if;if paidtemp>=pricetemp thenbackmoney:=paidtemp-pricetemp;neededtemp:="00000000";current_state<=qd;else neededtemp:=pricetemp-paidtemp;backmoney:="00000000"; current_state<=qc;end if;paid<=paidtemp;needed<=neededtemp;end if;if coin1/='1'and coin5/='1' thenif q<10 then q<=q+1;else current_state<=qg;end if;else q<=0;end if;when qg=>failure<='1';showmoneyout<='1';moneyout<=paidtemp;current_state<=qf;q<=0;success<='0';when qd=>success<='1';if backmoney>"00000000"then showmoneyout<='1'; moneyout<=backmoney;end if;current_state<=qf;q<=0;when qf=>if q<4 then q<=q+1;else current_state<=qa;q<=0;end if;end case;elseend if;end process;end behav;BCD译码模块完整程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity code1 isport(b:in std_logic_vector(7 downto 0);bcd0:out std_logic_vector(3 downto 0);bcd1:out std_logic_vector(3 downto 0)) ;end code1;architecture one of code1 isbeginprocess(b)begincase b iswhen"00000000"=>bcd0<="0000";bcd1<="0000";--译码“0”;when"00000001"=>bcd0<="0001";bcd1<="0000";--译码“1”;when"00000010"=>bcd0<="0010";bcd1<="0000";--译码“2”;when"00000011"=>bcd0<="0011";bcd1<="0000";--译码“3”;when"00000100"=>bcd0<="0100";bcd1<="0000";--译码“4”;when"00000101"=>bcd0<="0101";bcd1<="0000";--译码“5”;when"00000110"=>bcd0<="0110";bcd1<="0000"; when"00000111"=>bcd0<="0111";bcd1<="0000"; when"00001000"=>bcd0<="1000";bcd1<="0000"; when"00001001"=>bcd0<="1001";bcd1<="0000"; when"00001010"=>bcd0<="0000";bcd1<="0001"; when"00001011"=>bcd0<="0001";bcd1<="0001"; when"00001100"=>bcd0<="0010";bcd1<="0001"; when"00001101"=>bcd0<="0011";bcd1<="0001"; when"00001110"=>bcd0<="0100";bcd1<="0001"; when"00001111"=>bcd0<="0101";bcd1<="0001"; when"00010000"=>bcd0<="0110";bcd1<="0001"; when"00010001"=>bcd0<="0111";bcd1<="0001"; when"00010010"=>bcd0<="1000";bcd1<="0001"; when"00010011"=>bcd0<="1001";bcd1<="0001"; when"00010100"=>bcd0<="0000";bcd1<="0010";译码“20”when others=>null;end case;end process;end one;顶层模块完整程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top isPort( clk1:in std_logic;C1,C5,P1_5,P2:in std_logic;paid_lcd0,paid_lcd1,needed_lcd0,needed_lcd1,Mout_lcd0,Mout_lcd1:out std_logic_vector(3 downto 0);s,f,showout :out std_logic );end top;architecture one of top iscomponent shouhuojiport ( clk:in std_logic;coin1:in std_logic;coin5:in std_logic;cola:in std_logic;pepsi:in std_logic;paid:out std_logic_vector(7 downto 0);needed:out std_logic_vector(7 downto 0);success:out std_logic;failure:out std_logic;showmoneyout:out std_logic;moneyout:out std_logic_vector(7 downto 0));end component;component code1port(b:in std_logic_vector( 7 downto 0);bcd0:out std_logic_vector(3 downto 0);bcd1:out std_logic_vector(3 downto 0));end component;signal p,n,mo:std_logic_vector( 7 downto 0);--signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0);beginu0:shouhuoji--各模块连接port map(clk=>clk1,coin1=>C1,coin5=>C5,cola=>P1_5,pepsi=>P2, success=>s,failure=>f,showmoneyout=>showout,paid=>p,needed=>n,mo neyout=>mo);u1:code1 port map(b=>p,bcd0=>paid_lcd0,bcd1=>paid_lcd1);u2:code1 port map(b=>n,bcd0=>needed_lcd0,bcd1=>needed_lcd1); u3:code1 port map(b=>mo,bcd0=>Mout_lcd0,bcd1=>Mout_lcd1); end one;1.1.4各模块的连接各模块的连接如图所示:售货机主控系统和译码器模块图售货机顶层模块2、1 主控模块的仿真上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。
自动售货机开题报告

4、主要参考文献
[1]白丽.自动售货机:第三次零售业革命[ J].电子商务,2005,(3)
[9]潘松 黄继业 编著 《EDA技术实用教程——VHDL版》(第四版)[M].科学出版社,2010年
[10]刘绍汉 林灶生 刘新民 编著 《VHDL芯片设计》[M].清华大学出版社,2004年
[11]邹彦 编著 《EDA技术与数字系统设计》[M].电子工业出版社,2007.4
[12]王永华 《现代电气控制及PLC应用技术》[M].2版.北京:北京航空航天大学出版社
5
定稿
2010.05.01—2010.05.15
6
答辩
2010.05.16—2010.05.17
指导教师意见
签名:
年 月 日
院(系)审核意见
1、通过; 2、完善后通过; 3、不通过
院(系)公章
年 月 日
目前,我国在研制自动售货机等方面有可喜的成果,但总的来说还没有形成真正的产业。在自动售货机相关的所有研究领域中,全球对其关键技术的研究主要集中在系统内部销售动作实现方式的研究、资金结算及销售信息统计管理的实现方式研究、功耗节省模式的研究。根据相关文献资料,系统内部销售动作实现方式的研究已基本成熟,研究最多的主要集中在资金结算及销售信息统计管理的实现方式和功耗节省模式上。在FPGA基础上,采用VHDL描述语言实现自动售货机系统的销售动作,并完成整个系统的其他相关功能。自动售货机的机体内部结构已经相当完善,影响其普及的关键因素:在现有的各种通信网路平台上如何呢让自动售货机形成一种销售连锁网,为其提供更方便的营销方式和管理机制,能最大限度地降低生产、运营成本。目前,无论是基于internet还是基于移动通信网或其他网络平台,其运作的机制都不太成熟,不过这并不影响自动售货机的发展潜力。因此,自动售货机未来的发展趋势是将其纳入到一个更广阔、更便利的移动商务网络平台。
EDA实习报告(自动售卖机)
EDA实习报告(自动售卖机)第一篇:EDA实习报告(自动售卖机)EDA技术及应用实习报告自动售卖机信息13-2班06号GMH北华大学电气信息工程学院目录一、设计任务功能简介 (2)1、设计任务 (2)2、主要功能 (2)二、原理、方案、框图及任务分解 (2)1、原理 (2)2、方案 (2)3、框图 (3)4、任务分解 (3)三、关键任务的设计代码及注释 (4)四、调试中出现的问题及解决方案 (8)1、问题一 (8)2、问题二 (8)五、产业化市场分析及预测 (8)六、测试及运行结果 (9)七、参考文献 (1)一、设计任务功能简介1、设计任务自动售货机设计。
利用软件QuartusII软件,使用VHDL语言结合硬件要求对本题目设计功能进行编程,并在完成整个硬件程序设计后,在软件平台上进行编译和电路仿真,最后生成的目标文件下载到DE2-70实验板上实现上述所有功能并验证程序的可行性。
2、主要功能本设计主要实现的功能为:货物信息存储功能、商品选择功能、输入钱数处理功能、货架商品更换功能、语音提示功能、信息显示等功能。
二、原理、方案、框图及任务分解1、原理自动售货机(Vending Machine,VEM)是能根据投入的钱币自动付货的机器。
自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。
是一种全新的商业零售形式,又被称为24小时营业的微型超市。
能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。
自动售货机是台机电一体化的自动化装置,在接受到货币已输入的前提下,靠触摸控制按扭输入信号使控制器启动相关位置的机械装置完成规定动作,将货物输出。
2、方案根据题目要求机器设有2个投币孔,机器可以接受1元和5元的纸币,分别有八种商品,价格分别为1元,4元,2元,3元,6元,7元,5元,8元,机器采用拨码开关模拟机器的复位键、确认购买键和商品选择,并且通过开发板上的LED等分别显示购买的不同情况,数码管实时显示购买金额,包括投入的价钱,找零的价钱。
自动售货机主控制系统的研制的开题报告
自动售货机主控制系统的研制的开题报告一、选题背景随着现代科技的不断发展,自动售货机在我们的生活中越来越常见。
自动售货机通过先进的技术实现了自动售卖商品的功能,极大地方便了人们的生活和工作。
然而,现有的自动售货机仍面临着一些问题,如售卖过程中出现的故障和无法及时反馈销售记录等,这些问题都需要通过一种有效的控制系统解决。
因此,本文旨在研制一种自动售货机主控制系统,以解决目前自动售货机存在的问题。
二、研究内容本文的研究内容主要包括以下方面:1.自动售货机主控制系统的设计与开发。
在整个系统中,主控制系统是核心部分,决定了自动售货机的整体性能。
我们将研发一套高效稳定的主控制系统,包括硬件和软件部分,以实现自动售货机对销售过程的控制和监测。
2.自动售货机销售及库存信息的实时统计与管理。
我们将在主控制系统中加入实时统计功能,对自动售货机的销售和库存信息进行收集、记录、存储和分析,可以使用互联网将数据上传到数据库,以便后台管理系统进行数据处理或用于其他用途。
3.在系统中加入智能检测功能,实现自动故障检测和预警。
在销售过程中出现故障可能会对用户造成不便,因此我们将设计一个智能检测系统,包括硬件和软件部分,用于实时检测自动售货机的状态并预警。
三、研究目标本文研究的主要目标是实现一套高效稳定的自动售货机主控制系统,并在系统中加入实时统计、管理和智能检测功能,以解决现有自动售货机存在的问题。
将来,我们希望这个系统可以应用于日常生活中的自动售货机,提升售货机的便利性和用户体验。
四、研究方法本文的研究方法主要包括以下几个方面:1.理论研究:通过文献调查和资料收集,了解自动售货机的基本原理及其主要问题,并对现有的自动售货机控制系统进行分析和比较,确定研究方向。
2.系统设计:基于研究成果,设计出适用于自动售货机的主控制系统,包括硬件和软件部分。
3.系统测试:对设计出的主控制系统进行测试和评估,检查其稳定性、效率和精度等方面的性能,并对测试结果进行分析和总结,修改和改进系统。
自动售货机 开题报告
自动售货机开题报告自动售货机开题报告一、引言随着科技的不断发展,自动售货机已经成为我们日常生活中常见的一种商业设备。
它们不仅为消费者提供了便利,也为商家带来了更多的商机。
本文将探讨自动售货机的发展历程、市场前景以及其对消费者和商家的影响。
二、自动售货机的发展历程自动售货机的历史可以追溯到19世纪末。
最早的自动售货机是用来销售口香糖和糖果的。
随着技术的进步,自动售货机的种类也越来越多,包括饮料、零食、烟草、杂志等等。
如今,随着智能技术的应用,自动售货机已经不再局限于传统的商品销售,还可以提供更多的服务,比如手机充值、公共交通卡充值等。
三、自动售货机的市场前景自动售货机市场前景广阔。
随着人们生活水平的提高和消费习惯的改变,自动售货机已经成为商家们争相投资的热门项目。
根据市场研究机构的数据显示,自动售货机市场的年复合增长率高达20%以上。
这一数据表明,自动售货机市场有着巨大的潜力和发展空间。
四、自动售货机对消费者的影响自动售货机给消费者带来了很多便利。
首先,它们可以随时随地提供商品和服务,无论是白天还是夜晚,无论是工作日还是假日。
其次,自动售货机通常接受多种支付方式,包括现金、信用卡、移动支付等,方便消费者根据自己的需求进行支付。
此外,自动售货机还为消费者提供了更多选择的机会,他们可以在一个地方找到多种品牌和类型的商品。
然而,自动售货机也存在一些问题。
首先,由于技术限制,自动售货机的商品种类和数量有限。
其次,自动售货机的售后服务相对较弱,一旦出现故障,维修和退款可能会变得困难。
此外,自动售货机也容易受到破坏和盗窃的威胁。
五、自动售货机对商家的影响自动售货机对商家来说是一种新的商业模式。
首先,自动售货机可以降低人力成本,不需要雇佣店员,减少了人工费用。
其次,自动售货机可以扩大销售渠道,将商品推广到更多的地方,提高销售额。
此外,自动售货机还可以实时收集消费者的购买数据,帮助商家了解市场需求,调整产品策略。
自动售货机控制系统的设计与实现的开题报告
自动售货机控制系统的设计与实现的开题报告一、选题背景随着科技的不断发展,自动售货机的普及度越来越高,其在生活中的应用越来越广泛。
自动售货机通过射频识别或者扫描二维码的方式识别商品,实现自动化销售。
自动售货机不仅为消费者带来了购物的便利,同时也带动了自动售货机控制系统的发展。
因此,本课题旨在研究自动售货机控制系统的设计与实现,包括系统的硬件设计和软件编程,从而提高自动售货机的智能化程度、减少维护成本,为自动售货机的稳定运行提供技术支持。
二、研究目的本课题的研究目的主要包括以下几个方面:1.设计并实现一种系统性能稳定、结构紧凑、易于维护的自动售货机控制系统。
2.优化自动售货机的硬件结构,使其更加稳定、安全和易于使用。
3.分析自动售货机的工作流程和数据传输机制,编写相应的软件程序,支持自动售货机的智能化管理。
4.测试自动售货机控制系统的稳定性和安全性,优化系统的性能,提高用户的使用体验。
三、研究内容与方法1.自动售货机硬件设计:本课题将针对自动售货机硬件结构设计进行研究,包括主板、显示屏、货道控制芯片、货道传感器、读卡器等组件的选型和布局。
在硬件设计过程中,需要充分考虑系统的稳定性、扩展性和安全性。
2.自动售货机软件编程:本课题将采用C语言和Python语言编写自动售货机控制系统的软件程序,包括货道控制程序、交易系统和监控系统等部分。
软件编程需要充分考虑系统的性能和稳定性,并且具备可扩展性和易维护性。
3.系统测试与优化:本课题将设计测试方案,对自动售货机控制系统的稳定性、用户体验等方面进行全面测试。
根据测试结果,对系统进行优化,提高自动售货机的性能和用户的使用体验。
四、预期成果本课题的预期成果主要包括:1.自动售货机控制系统的设计方案和实现方案。
2.自动售货机硬件结构图和软件程序源代码。
3.自动售货机控制系统的测试报告和优化方案。
4.自动售货机控制系统相关结果的发表和宣传。
五、研究计划1.前期准备阶段:2021年1月-2021年2月。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
基于EDA的自动售货机系统的设计开题报告范文课题名称:基于学院:专业:EDA的自动售货机系统的设计电子电气工程学院电子信息工程一自动售货机设计简介自动售货是20世纪70年代在日本、欧美发展起来的一种全新的消费方式,自动售货机又被称为24小时营业的微型自助超市,它的售货领域非常广泛,是发达国家商品零售的一种主要方式。
自动售货机作为一种先进的消费方式,已经成为城市现代文明发达程度的重要标志,其新颖、时尚的购物方式,24小时营业的特点给人们生活带来了便利,美化了城市环境,受到消费者的欢迎。
但是在我国自动售货机的普及还不及发达国家,主要原因是我国EDA技术的应用水平长期落后于发达国家。
自动售货机控制要求对机内商品信息进行识别,对投入的货币进行运算,并根据所投入的货币数值判断是否能够购买某种商品,并做出相应的反应。
本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零等功能,系统工作流程如图1所示。
(2)自动售货机的几个过程在自动售货机控制系统的工作过程中,可分为三个状态:初始状态、投币状态和找零状态。
在每一个状态中完成特定的功能。
首先,在初始状态下,自动售货机通电,初始化机内商品信息(包括商品种类、每种商品的数量以及价格)。
当顾客购买商品时,可根据自己需要通过按键选择其中一种商品(且只限一种),然后进入下一状态,即投币状态。
在投币状态下,当投入硬币总额大于或等于商品价格,并且按确认购买键后将进入找零状态;若按取消键,售货机退出所投硬币,返回到初始状态。
投币结束,若投入的硬币等于或大于商品单价,且确认购买,则商品将送出送货口,并计算输出找零硬币。
出货找零结束时表示一次自动售货过程完成,重新返回到初始状态,计算此时商品信息,并等待下一次售货过程的到来。
(3)自动售货机的基本模块自动售货机控制系统的功能模块逻辑上划分为商品信息存储模块、商品选择模块、投币处理模块,找零模块和显示模块。
1商品信息存储模块该模块的功能是将商品的单价、数量存储到自动售货机中,price、quantity分别表示商品的单价与数量数据信号,item为商品的种类。
2商品选择模块该模块功能是对商品进行选择与购买。
当对四种商品进行选择时,由el的选择信号的个数来表示所选择的商品类型。
get为对商品进行确认购买的信号。
3投币处理模块该模块是对投入的硬币进行处理的过程,输出参数coin为投币数值计数器,以0.5元为单位进行计算。
整个模块功能类似于计钱器,可准确计算投入的硬币数,记录并保存投入的总币值。
4找零模块该模块主要完成找零操作。
通过计钱器coin计算余额,然后输出找零的控制信号act5、act10。
5显示模块该模块用来显示钱数和商品数量。
用于钱数显示时,将计钱器coin转换成BCD码,然后经译码器译码并显示。
用于商品数量显示时,将计算得出的最终商品数量quantity先转换成BCD码,然后经译码器译码并显示。
二国内外EDA技术发展简介1EDA概述人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。
现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。
前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术。
EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:IC设计,电子电路设计以及PCB设计。
没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。
2EDA技术简介EDA(ElectronicDeignAutomation),即电子设计自动化,是指利用计算机完成电子系统的设计。
EDA技术是以计算机和微电子技术为先导的,汇集了计算机图形学、拓扑学、逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术。
EDA技术以计算机为工具,代替人完成数字系统的逻辑综合、布局布线和设计仿真等工作。
设计人员只需要完成对系统功能的描述,就可以由计算机软件进行处理,得到设计结果,而且修改设计如同修改软件一样方便,可以极大地提高设计效率。
2.1EDA技术的发展概况从20世纪60年代中期开始,人们就不断开发出各种计算机辅助设计工具来帮助设计人员进行电子系统的设计。
电路理论和半导体工艺水平的提高,对EDA技术的发展起到了巨大的作用,使EDA作用范围从PCB板设计延伸到电子线路和集成电路设计,直至整个系统的设计,也使IC芯片系统应用、电路制作和整个电子生产过程都集成在一个环境之中。
根据电子设计技术的发展特征,EDA技术发展大致分为三个阶段。
(1)CAD阶段第一阶段的特点是一些单独的工具软件,主要有PCB布线设计、电路模拟、逻辑模拟、以及版图的绘制等,通过计算机的使用,从而将设计人员从大量繁重重复的计算和绘图工作中解脱出来。
20世纪80年代,随着集成电路规模的增大,EDA技术有了较快的发展。
许多软件公司等进入市场,开始供应带电路图编辑工具和逻辑模拟工具的EDA软件。
这个时期的软件产品主要针对产品开发,按照设计、分析、生产和测试等多个阶段,不同阶段分别使用不同的软件包,每个软件只能完成其中一项工作,通过顺序循环使用这些软件,可完成设计的全过程。
(2)CAE阶段这个阶段在集成电路与电子设计方法学以及设计工具集成化方面取得了许多成果。
各种设计工具,如原理图输入、编译与连接、逻辑模拟、测试码生成、版图自动布局已齐全。
由于采用了统一数据管理技术,因而能够将各个工具集成为一个CAE系统。
按照设计方法学制定的设计流程,可以实现从设计输入到版图输出的全程设计自动化。
这个阶段主要采用基于单元库的半定制设计方法,采用门阵列与标准单元设计的各种ASIC得到了极大的发展,将集成电路工业推入了ASIC时代。
(3)EDA阶段20世纪90年代以来,微电子技术以惊人的速度发展,其工艺水平达到了深亚微米级,此阶段主要出现了以高级语言描述、系统仿真和综合技术为特征的第三代EDA技术,不仅极大地提高了系统的设计效率,而且使设计人员摆脱了大量的辅助性及基础性工作,将精力集中于创造性的方案与概念的构思上。
它的特征为:第一,高层综合的理论与方法取得较大进展,将EDA设计层次由RT级提高到了系统级(又称行为级),并划分为逻辑综合和测试综合。
第二,采用硬件描述语言HDL来描述10万门以上的设计,并形成了VHDL和VerilogHDL两种标准硬件描述语言。
第三,采用平面规划技术对逻辑综合和物理版图设计进行联合管理,作到在逻辑综合早期设计阶段就考虑到物理设计信息的影响。
第四,可测性设计。
第五,为带有嵌入IP模块ASIC设计提供软硬件协同系统设计工具。
2.2EDA技术的基本特征EDA技术代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件[3]。
这样的设计方法被称为高层次的电子设计方法。
下面介绍与EDA基本特征有关的几个概念。
(1)“自顶向下”的设计方法10年前,电子设计的基本思路还是选择标准集成电路“自底向上”(Bottom-Up)的构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。
(2)高层次设计高层次设计提供了一种“自顶向下”(Top-Down)的全新的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。
在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。
然后用综合优化工具生成具体门电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。
由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早期发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。
(3)硬件描述语言硬件描述语言(HDL-HardwareDecriptionLanguage)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。
本次设计使用VERILOGHDL语言,VerilogHDL语言最初是于1983年由GatewayDeignAutomation公司为其模拟器产品开发的硬件建模语言。
那时它只是一种专用语言。
由于他们的模拟、仿真器产品的广泛使用,VerilogHDL作为一种便于使用且实用的语言逐渐为众多设计者所接受。
在一次努力增加语言普及性的活动中,VerilogHDL语言于1990年被推向公众领域。
OpenVerilogInternational(OVI)是促进Verilog发展的国际性组织。
1992年,OVI决定致力于推广VerilogOVI标准成为IEEE标准。
这一努力最后获得成功,Verilog语言于1995年成为IEEE标准,称为IEEEStd1364-1995。
完整的标准在Verilog硬件描述语言参考手册中有详细描述。
下面列出的是Verilog硬件描述语言的主要能力:某基本逻辑门,例如and、or和nand等都内置在语言中。
某用户定义原语(UDP)创建的灵活性。
用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语。
某开关级基本结构模型,例如pmo和nmo等也被内置在语言中。
某提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。
某可采用三种不同方式或混合方式对设计建模。
这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模。
某VerilogHDL中有两类数据类型:线网数据类型和寄存器数据类型。
线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。
某能够描述层次设计,可使用模块实例结构描述任何层次。
某设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。
某VerilogHDL不再是某些公司的专有语言而是IEEE标准。
某人和机器都可阅读Verilog语言,因此它可作为EDA的工具和设计者之间的交互语言。
某VerilogHDL语言的描述能力能够通过使用编程语言接口(PLI)机制进一步扩展。