大学毕设论文__基于cpld的智能小车循迹__课程设计
智能循迹避障小车设计毕业论文

void bizhang()
{
en1=1;
en2=1;
goback();
mid_red=0;
baojing();
goback();
for(i=0;i<8;i++)
{
en1=1;
en2=1;
delay(150);
en1=0;
en2=0;
delay(50);
}
stop();
delay(10);
turgoahead();
delay(150);
en1=0;
en2=0;
delay(50);
}
else if((left_red==0)&(right_red==1))
{
en1=0;
en2=1;
P0_0=!P0_0;
turnleft();
delay(150);
en1=1;
en2=0;
针对本设计特点——多开关量输入的复杂程序控制系统,需要擅长处理多开关量的标准单片机,而不能用精简I/O口和程序存储器的小体积单片机,D/A、A/D功能也不必选用。根据这些分析,我选定了P89C51RA单片机作为本设计的主控装置,51单片机具有功能强大的位操作指令,I/O口均可按位寻址,程序空间多达8K,对于本设计也绰绰有余,更可贵的是51单片机价格非常低廉。
智能循迹小车课程设计报告

智能循迹小车课程设计报告一、课程设计目标:本次智能循迹小车课程设计的目标是让学生了解智能硬件的基础知识,掌握基本电子元器件的原理及使用方法,学习控制系统的组成和运行原理,并通过实践操作设计出一款功能齐全的智能循迹小车。
二、课程设计内容及步骤:1. 调研与分析——首先要对市面上现有的智能循迹小车进行调研与分析,了解各种类型的循迹小车的特点和优缺点,为后续的设计提供参考。
2. 硬件选型——根据课程设计目标和实际需要,选择合适的主控芯片、电子元器件和传感器等硬件。
3. 原理图设计——根据硬件选型,设计出对应的原理图,并在硬件上进行布局与焊接。
4. 程序设计——先在电路板上测试硬件是否正常,随后进行程序设计,根据传感器的反馈控制小车的运动,让小车能够沿着黑线自动循迹行驶,同时加入避障功能和自动寻迹功能。
5. 调试与优化——完成程序设计后,要对小车进行全面验收测试,发现问题及时解决并优化相关程序。
三、设计思路:本次课程设计基于树莓派电路板,利用循迹模块实现小车的自动循迹和自动寻迹。
同时将超声波模块结合避障算法实现小车的自动避障。
小车的外壳采用3D打印技术制作,操作简单实用。
四、课程设计效果:通过本课程设计,学生们从理论到实践,了解了智能硬件的基础知识,掌握了基本电子元器件的原理及使用方法,学习了控制系统的组成和运行原理。
同时,实践操作过程中,学生们培养了动手能力和实际操作的技能。
通过制作一台智能循迹小车,学生们对智能硬件的认识更加深入,并获得了较高的设计满足感。
五、课程设计展望:智能循迹小车是智能硬件应用领域的一项重要发明,具有广泛的应用前景。
未来,可以将循迹小车应用于快递、物流等行业,实现自动化送货、配送。
同时可以将遥控技术与循迹技术相结合,设计出更加高效、实用的智能循迹小车,推动智能化生产和工作环境。
循迹小车毕业论文

循迹小车毕业论文循迹小车毕业论文引言:在如今科技高速发展的时代,机器人技术逐渐走入人们的生活,成为了一种热门的研究领域。
其中,循迹小车作为机器人的一种,具有广泛的应用前景。
本文将围绕循迹小车展开讨论,探索其原理、设计以及未来发展。
一、循迹小车的原理循迹小车是一种能够根据特定轨迹行驶的机器人。
它通过搭载的传感器,如红外线传感器或摄像头,实时感知周围环境,并根据预设的循迹算法进行行驶。
该算法能够分析传感器所接收到的信号,并判断车辆应该如何转向,从而保持在特定轨迹上行驶。
二、循迹小车的设计1. 传感器设计循迹小车的传感器设计是关键之一。
红外线传感器是常用的传感器之一,它能够通过接收反射的红外线信号,判断车辆是否偏离轨迹。
除此之外,摄像头也是一种常见的传感器选择,它能够实时捕捉车辆周围的图像,并通过图像处理算法判断车辆的位置和方向。
2. 控制系统设计循迹小车的控制系统设计是确保车辆按照预设轨迹行驶的核心。
控制系统通常由微控制器、电机驱动器和电源组成。
微控制器负责接收传感器的信号,并根据循迹算法控制电机驱动器实现车辆的转向和速度调整。
电源则提供所需的电能。
3. 车体结构设计循迹小车的车体结构设计需要考虑到载重能力、稳定性和机动性。
车体通常由轮子、底盘和支撑结构组成。
轮子的选择要考虑到摩擦力和抓地力,底盘的设计要考虑到重心的稳定性,支撑结构的设计则要保证车体的整体稳定性。
三、循迹小车的应用循迹小车作为一种机器人技术,有着广泛的应用前景。
1. 工业领域循迹小车在工业领域可以应用于自动化生产线上,实现物料的自动搬运和分拣。
它能够减轻人力负担,提高生产效率。
2. 物流领域循迹小车在物流领域可以应用于仓储管理,实现货物的自动存储和取出。
它能够提高物流效率,减少人为错误。
3. 教育领域循迹小车在教育领域可以应用于机器人教育和编程教育。
学生可以通过操控循迹小车,学习机器人技术和编程知识。
四、循迹小车的未来发展随着科技的不断进步,循迹小车也将不断发展和创新。
循迹小车毕业论文

循迹小车毕业论文本文介绍了一个基于单片机的循迹小车设计。
该系统主要由两个模块组成:传感器模块和控制模块。
传感器模块使用红外线传感器和光敏电阻来检测黑色轨道和白色背景之间的反差,从而确定小车运动的轨迹。
控制模块使用PID 控制算法来调整小车的方向和速度,以保持小车在轨道上运动。
该系统通过语音识别模块和蓝牙通信模块与外部设备交互,具有较好的可扩展性和交互性。
关键词:循迹小车;单片机;传感器;PID 控制算法一、引言随着科技的不断发展,智能控制系统在各个领域得到了广泛应用。
循迹小车作为一种常见的智能控制系统,已经成为了学生课程设计、科技展览、科普教育等方向的研究热点。
本文基于单片机设计了一个循迹小车,以介绍该系统的设计思路和实现细节。
二、系统设计循迹小车的设计主要分为两个模块:传感器模块和控制模块。
传感器模块通过红外线传感器和光敏电阻来检测轨道,控制模块使用PID 控制算法来调整小车的方向和速度,以保持小车在轨道上运动。
该系统还加入了语音识别模块和蓝牙通信模块,增强了其可扩展性和交互性。
1. 传感器模块循迹小车的传感器模块主要用于检测小车运动的轨迹,以实现自动驾驶。
本文采用了两种传感器:红外线传感器和光敏电阻。
红外线传感器(Infrared Sensor)是一种能够感知红外线辐射并将其转化为电信号的传感器。
其原理是利用红外线反射率的不同,通过发射和接收红外线来判断物体的位置、距离或者形状。
在本文中,我们使用红外线传感器来检测黑色轨道和白色背景之间的反差,从而确定小车运动的轨迹。
光敏电阻(Photoresistor)是一种可以感知光强度变化并将其转化为电信号的传感器。
其原理是利用半导体材料的光电效应,当光照射在其表面时,其电阻值会发生变化。
在本文中,我们使用光敏电阻来检测环境中的光线强度,从而判断小车是否处于黑色轨道上。
2. 控制模块循迹小车的控制模块主要用于控制小车的方向和速度,以保持小车在轨道上运动。
智能循迹小车 毕业论文

智能循迹小车毕业论文一、前言随着科技的发展,智能机器人已经成为人们关注的热门话题。
智能机器人的出现和应用,不仅可以提高生产效率,减少劳动强度,并且可以创造出很多新的应用领域。
其中,智能循迹小车作为一种基于仿生学和机器人学的新型机器人,已经逐渐应用到许多领域,如环境监测、病毒检测等。
本文着重介绍智能循迹小车的设计和实现,以期为相关研究提供参考。
二、智能循迹小车的需求分析智能循迹小车主要用于环境监测和物品巡检。
为了保证循迹小车的运转效果,需要进行以下需求分析:1.循迹精度高:循迹小车的自主导航是基于视觉和控制系统完成的,因此需要保证循迹精度高,以便更准确地定位目标位置。
2.交通状况适应性强:循迹小车需适用于不同的路况和环境,如转向直接性、弯道安全性、山地路段行驶性等。
3.控制系统稳定性高:为了确保循迹小车的运转稳定,控制系统需稳定、耐用。
4.多功能性:循迹小车需具备多种传感器和设备,以实现环境监测和物品巡检等多项功能。
三、智能循迹小车的设计方案1.硬件设计智能循迹小车由四个电动轮驱动,需要具备以下硬件配置:1) 微型处理器:采用单片机实现控制、通信等功能。
2) 直流电机:用于驱动小车前进和后退。
3) 舵机:控制小车方向。
4) 金属质量传感器:检测循迹目标的位置,并对小车进行控制。
5) 视觉传感器:采集路面图像,并进行图像处理。
6) 电源模块:提供小车稳定的电力来源。
2.软件设计1) 系统设计:采用嵌入式系统,将设备的物理特性和功能与程序环境相结合,实现对小车的控制和行为规划。
2) 控制算法设计:采用视觉处理和运动控制算法实现对小车的控制,并对其交通状况和循迹精度进行优化。
3) 通信协议设计:采用串口通信协议实现与上位机的数据传输。
四、智能循迹小车的实现演示智能循迹小车的实现演示中,需要注意以下几点:1. 使用电源模块为小车提供稳定的电力来源。
2. 通过视觉传感器采集并处理路面的图像信息。
3. 通过金属质量传感器检测循迹目标的位置。
智能循迹小车毕业论文

智能循迹小车毕业论文本篇论文主要研究了基于Arduino控制器的智能循迹小车设计与实现。
智能循迹小车是一种常见的机器人应用,其主要应用于物流和仓库管理、生产工艺控制等领域。
本文利用Arduino Uno作为核心控制器,通过电机控制模块和红外避障模块等外部组件,实现了小车的轨迹匹配和避障功能。
同时,通过DHT11湿度传感器和MQ-2烟雾传感器,实现了小车的环境检测功能。
论文最后进行了实际测试,验证了智能循迹小车的正确性和实用性。
关键词:智能小车;Arduino;循迹;避障;环境检测1.引言随着科技的不断进步,人工智能、机器人等技术的发展越来越快速。
智能小车作为机器人领域的典型应用,主要应用于物流和仓库管理、生产工艺控制等领域。
因此,设计和制作一种高效、准确的智能小车成为当今热门的研究方向。
2.设计方案2.1硬件设计(1)Arduino UnoArduino Uno是一个基于ATmega328P微控制器的开源电子原型平台,其支持无需编程或者其他硬件电路就可以快速轻松地开发嵌入式系统。
(2)红外避障模块红外避障模块是一种基于红外线探测距离的传感器模块,通过测量物体与小车之间的距离,判断小车前方是否有障碍物。
(3)电机控制模块电机控制模块是小车的驱动部分,其主要作用是控制小车的行进方向和速度。
(4)DHT11湿度传感器DHT11湿度传感器是一种能够测量环境温度和湿度的传感器,通过该传感器可以实现小车的环境检测功能。
(5)MQ-2烟雾传感器MQ-2烟雾传感器是一种能够检测空气中是否含有有害的烟雾气体的传感器,可以实现小车的环境检测功能。
2.2软件设计设计程序采用C++编写,主程序根据小车周围环境的变化情况,不断地调用各部分模块,实现小车的循迹、避障、环境检测等功能。
3.实现方法和结果3.1循迹实现在小车轮下安装两个红外传感器,实现对黑线的检测和识别。
根据黑线的信号变化情况,调整小车行进的方向和速度。
3.2避障实现在小车前端安装红外避障模块,通过判断距离来实现小车遇到障碍物时自动停车,避免发生碰撞。
(完整版)基于单片机智能循迹小车毕业设计
沈阳理工大学课程名称:基于单片机智能循迹小车姓名:魏玉柱指导教师:程磊催宁海摘要本文论述了基于单片机的智能循迹小车的控制过程。
智能循迹是基于自动引导机器人系统,用以实现小车自动识别路线,以及选择正确的路线。
智能循迹小车是一个运用传感器、单片机、电机驱动及自动控制等技术来实现按照预先设定的模式下,不受人为管理时能够自动实现循迹导航的高新科技。
该技术已经应用于无人驾驶机动车,无人工厂,仓库,服务机器人等多种领域。
本设计采用STC89C52单片机作为小车的控制核心;采用TCRT5000红外反射式开关传感器作为小车的循迹模块来识别白色路面中央的黑色引导线,采集信号并将信号转换为能被单片机识别的数字信号;采用驱动芯片L298N构成双H桥控制直流电机,其中软件系统采用C程序,本设计的电路结构简单,容易实现,可靠性高。
关键词:STC89C52 智能循迹小车TCRT5000传感器电机驱动目录1引言 (4)2 需求分析 (4)2.2 循迹小车的发展历程回顾 (5)2.3智能循迹小车的应用 (5)2.4 智能循迹小车研究中的关键技术 (8)3系统设计 (9)4详细设计 (8)4.1 硬件设计 (8)4.1.1电路原理图 (9)4.1.2 器件选择 (10)4.1.2.1 智能循迹小车的主控芯片的选择 (10)4.1.2.2 智能循迹小车电源模块的选择 (10)4.1.2.3 智能循迹小车电机驱动电路的选择 (11)4.1.2.4 智能小车循迹模块的选择 (11)4.1.3 模块设计 (12)4.1.3.1电机驱动模块电路 (12)4.1.3.2光电传感器模块 (12)4.2 软件设计 (14)4.2.1程序流程图 (14)4.2.2实现主要代码 (14)5 实验结果 (16)5.1设计实现 (16)5.2出现的问题和解决的方法 (17)6 结束语 (18)7.参考文献 (19)1引言随着控制技术及计算机技术的发展,寻迹小车系统将在未来工业生产和日常生活中扮演重要的角色。
课程设计智能循迹小车
课程设计智能循迹小车一、教学目标本课程旨在通过智能循迹小车的制作与编程,让学生掌握基础的电子电路知识、传感器原理以及简单的编程技巧。
在知识目标方面,学生需要理解电子元件的功能,如电机、传感器等,并能够运用编程语言对小车进行控制。
技能目标方面,学生应能够独立完成智能循迹小车的组装,并进行编程调试。
情感态度价值观目标方面,通过课程的学习,培养学生对科技创新的兴趣,增强动手实践能力,并培养团队合作意识。
二、教学内容教学内容将围绕智能循迹小车的制作与编程展开。
首先,学生将学习电子电路基础知识,包括电机、传感器等元件的功能和应用。
接着,学生将学习编程语言,并通过实践操作,掌握如何编写程序控制小车。
最后,学生将进行智能循迹小车的组装和调试,以巩固所学知识。
三、教学方法为了提高学生的学习兴趣和主动性,将采用多种教学方法。
包括讲授法,用于传授电子电路知识和编程技巧;讨论法,让学生在团队中交流想法,共同解决问题;案例分析法,分析实际案例,让学生更好地理解理论知识;实验法,让学生动手实践,提高操作技能。
四、教学资源教学资源包括教材、参考书、多媒体资料和实验设备。
教材将提供理论知识的学习,参考书将提供额外的学习资料。
多媒体资料包括教学视频和图片,用于辅助学生理解复杂概念。
实验设备包括智能循迹小车套件、电子元件、编程软件等,让学生能够进行实际操作和编程练习。
五、教学评估本课程的评估方式包括平时表现、作业和考试三个部分。
平时表现主要评估学生的课堂参与度和团队合作表现,通过观察和记录学生在课堂上的表现来进行评估。
作业方面,学生需要完成一系列与智能循迹小车相关的实践任务,如组装、编程和调试,通过提交作业来评估学生的实践能力。
考试则主要评估学生对电子电路知识、传感器原理和编程技巧的理解和应用,通过书面考试来评估学生的理论水平。
六、教学安排本课程的教学安排将分为10个课时,每个课时45分钟。
前5个课时用于讲解电子电路知识和传感器原理,中间3个课时用于编程技巧的学习和实践,最后2个课时用于智能循迹小车的组装和调试。
毕业设计智能小车
毕业设计智能小车毕业设计智能小车近年来,随着科技的不断进步和发展,智能化已经渗透到我们生活的方方面面。
从智能手机到智能家居,从智能穿戴设备到智能交通工具,无处不体现着智能科技的力量。
而在毕业设计中,我选择了一个与智能化密切相关的主题——智能小车。
智能小车是一种集机械、电子、计算机等多种技术于一体的智能交通工具。
它能够通过传感器感知周围环境,通过计算机进行数据处理和判断,并通过执行器实现自主导航和行驶。
在这个项目中,我将设计一个能够自主行驶、避开障碍物、遵守交通规则的智能小车。
首先,我将通过搭建一个传感器系统来实现智能小车的环境感知功能。
传感器系统可以包括激光雷达、摄像头、红外线传感器等多种传感器,用于感知车辆周围的障碍物、道路状况等信息。
通过这些传感器,智能小车可以获取到实时的环境数据,并通过算法进行分析和处理。
接着,我将设计一个智能控制系统,用于处理传感器获取到的数据,并做出相应的决策。
智能控制系统可以采用深度学习、机器学习等人工智能算法,通过训练和学习,使得智能小车能够根据不同的情况做出合理的行驶决策。
例如,在遇到红灯时,智能小车会主动停下来等待绿灯;在遇到行人时,智能小车会减速或停车等待行人通过。
同时,我还将为智能小车设计一个自主导航系统,使其能够在未知环境中自主行驶。
自主导航系统可以通过地图、定位系统和路径规划算法来实现。
智能小车可以通过地图获取到当前位置和目标位置,并通过路径规划算法确定最优行驶路径。
在行驶过程中,智能小车可以通过定位系统实时获取自身位置,从而实现精确的导航和行驶。
此外,为了提高智能小车的安全性和稳定性,我还将设计一个底盘控制系统,用于控制车辆的速度、转向等参数。
底盘控制系统可以通过电机和舵机等执行器来实现。
通过合理的控制算法和参数调整,可以使得智能小车在行驶过程中更加平稳和稳定,提高行驶的安全性和舒适性。
最后,在整个设计过程中,我将注重实践和测试,不断优化和改进智能小车的性能。
智能跟随小车设计毕业设计论文
智能跟随小车设计毕业设计论文**职业学院毕业设计(论文)题目:智能跟随小车设计系部:电子工程系专业:电气自动化技术学号:2012***23216学生姓名:指导教师:职称:二O一五年一月二日**职业学院毕业论文(设计)任务书课题名称:智能跟随小车设计系部:_________电子系____ _____ 专业:_______电气自动化技术_ _ 姓名:______ ___________学号:______2012***23216________ 指导教师:*****二O一五年一月二日一、毕业论文(设计)的目的与要求:1、设计目的毕业设计(论文)是教学过程中最后一个重要的实践性教学环节,是应用在校所学知识、结合工程实际,进行一次系统的、有机的解决工程实际问题的训练,目的是巩固、扩大和提高所学理论知识,使之系统化,并提升为解决实际工程技术问题的能力,通过本课题的实施可初步掌握工业企业的自动化技术改造基本方法和步骤。
通过毕业设计实践,可使学生进一步提高资料检索、计算、绘图、动手制作和编写说明书的职业技能。
2、题目要求:目前,设计出具有智能化的产品已经成为商家开发产品的目标之一,也是学生课外科技活动的热点之一;其中,专门针对具有自主巡线功能的智能小车的设计更是数不胜数。
但大多数智能巡线小车只是完成了“智能化”所要求的各部分的功能,在小车跟随性方面考虑较少。
此项目注重要求小车跟随主人的智能性。
当你下了飞机,面对一个智能跟随的小车载着行李跟你走,是否觉得舟车劳累的神经有一些舒畅。
当你走进琳琅满目的超市,有这样一个只能跟随的小车满载着你选购的物品跟随在身边,不再觉得陪女友逛街是在做兼职苦力。
当上了年岁的老人,陪伴伴侣散步,不再需要推轮椅,而是自动跟随移动。
智能跟随小车将很好的解决这类问题,把行李放在小车上,让智能跟随小车自动跟随主人。
应用范围较广,比如超市购物车,宾馆、旅店、办公室、医院的人力推车,运输系统的行李提取运输车等等。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
扬州大学能源与动力工程学院本科生课程设计题目:智能小车循迹控制系统课程:电子技术专业:班级:学号:姓名:***指导教师:郑老师完成日期: 20**年11月26日目录1. 任务及要求课程任务及其功能 3设计要求 3课程设计的时间安排 32.整体方案及特点智能小车循迹总体方案 4设计的思路及其特点 43.各组成部分的电路结构及工作原理红外循迹模块设计 4电源模块设计 6 CPLD模块设计 6 驱动模块设计 7直流电机 84.系统硬件电路设计 105.CPLD控制模块内个单元模块的设计PWM 10 主要控制模块 116.CPLD控制模块的顶层电路图原理图 14仿真波形 147.系统总装配图 158. 实验照片 159. 实验结果分析 1610. 调试中出现的问题及解决 1611.改进意见及收获体会 1712.器材 1713.使用仪器设备 1814.参考文献 18一、任务及要求1、课程任务及其功能设计智能小车循迹控制系统(1)小车可完成前进、转向等行驶方式;(2)小车在底盘安装四组红外传感器;(3)系统根据红外传感器提供的信息测算小车与地面深色路径的偏离程度;(4)当小车偏离地面深色路径时自动以转小弯、转大弯的运动方式调整小车的行进轨迹,完成自动循迹的运动方式。
2、设计要求(1)要求用可编程逻辑器件(FPGA/CPLD)设计实现;(2)在实验箱上或印刷电路板上安装、调试出所设计的电路;(3)在EDA编程实验系统上完成硬件系统的功能仿真;(4)写出设计、调试、总结报告。
3、课程设计的时间安排1)方案设计;(1.5天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。
2) 电路设计:(2天)根据方案设计框图,并画出各单元电路的详细电路图及总体电路图。
3) 电路仿真:(2.5天)熟悉EDA工具,在EDA软件平台上修改设计的电路,给出正确的仿真结果。
4) 装配图设计:(1天)根据给定的元器件,结合逻辑电路图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。
同时配以必要的文字说明。
5)电路制作:(2天)对选定的设计,按装配图进行装配,调试实验。
6) 总结鉴定:(1天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。
二、整体方案及特点1、智能小车循迹总体方案2、设计的思路及其特点在智能寻迹小车控制系统的设计中,以CPLD 为核心,用L298N 驱动两个减速电机,当产生信号驱动小车前进时,是通过寻迹模块里的红外对管是否寻到黑线产生的电平信号通过电压比较器LM339返回到CPLD ,然后CPLD 根据程序设计的要求做出相应的判断送给电机驱动模块,让小车来实现前进、左转、右转、停车等基本功能。
三、各组成部分的电路结构及工作原理1、红外寻迹模块的设计(1)电路结构驱动模块电源模块 红外寻迹模块CPLD 控制模块直流电机寻迹信号左右发射部分接收部分(2)工作原理该系统中的寻线模块我们采用的是红外传感器。
它有一个发射管(白色)和一个接收管(黑色),一般情况下接收管能收到发射管发送的红外光,但当遇到吸光介质(如黑色物体)时接收管便不能收到发射管的红外光。
因为传感器输出端得到的是模拟电压信号,所以在输出端增加了电压比较器LM339,先将输出电压与2.5V进行比较(检测到黑线时输出低电平,发光二极管不亮;检测到白线时输出高电平,发光二级管亮),再送给CPLD处理和控制。
本设计中,为使小车寻线稳定,最好选择差异较大的环境,例如在白地板上贴上黑线,可使红外寻线模块工作更加灵敏稳定。
2、电源模块的设计利用L298稳压管输出一路电压,供给单片机和电机驱动,还供给寻迹模块。
L298N是SGS公司的产品,内部包含4通道逻辑驱动电路。
是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。
3、CPLD控制模块设计此部分是整个小车运行的核心部件,起着控制小车所有的运行状态作用。
采用可编程逻辑期间CPLD作为控制器。
CPLD可以实现各种复杂的逻辑功能、规模大、密度高、体积小、稳定性高、IO资源丰富、易于进行功能扩展。
采用并行的输入输出方式,提高了系统的处理速度,适合作为大规模控制系统的控制核心1) 正常前进当寻迹板中间两个传感器检测到白线,小车都正常前进。
2)左小拐弯当只有左端第2个传感器检测到黑线,其余3个传感器检测到白色时,小车应左小拐弯。
3)左大拐弯当只要左端第1个传感器检测到黑线,右端2个传感器检测到白色时,小车应左大拐弯。
4) 右小拐弯当只有右端第2个传感器检测到黑线,其余3个传感器检测到白色时,小车应右小拐弯。
5) 右大拐弯当只要右端第1个传感器检测到黑线,左端2个传感器检测到白色时,小车应右大拐弯。
6)停车当4个传感器同时检测到黑线或其他情况,小车停车。
4、驱动模块设计(1)电路图(2)工作原理从CPLD输出信号功率很弱,即使在没有其它外在负载是也无法带动电机,所以在实际电路中我们加入了电机驱动芯片提高输入电机信号的功率,从而能够根据需要控制电机转动。
根据驱动功率大小以及连接电路的简单化要求选择L298N为直流电机驱动芯片。
L298N是SGS公司的产品,内部包含4通道逻辑驱动电路,是一种二相和四相电机的专用驱动器,即内含二个H桥的高电压大电流双全桥式驱动器,接收标准TTL逻辑电平信号,可驱动46V、2A以下的电机。
其引脚排列如下图所示,1脚和15脚可单独引出连接电流采样电阻器,形成电流传感信号。
L298N可驱动2个电机,OUTl、OUT2和OUT3、OUT4之间分别接2个电动机。
(5、10)、(7、12)脚接输入控制电平,控制电机的正反转,ENA,ENB接控制使能端,控制电机的停转。
L298N的逻辑功能如表1所示。
表1 L298N逻辑功能表ENA(B) IN1(IN3)IN2(IN4) 电机运行状况H H L 正转H L H 反转H 同IN2(IN4) 同IN1(IN3)快速停止L X X 停止其引脚图如图1所示:引脚介绍:第1、15脚:可单独引出连接电流采样电阻器,形成电流传感信号,也可直接接地。
第2、3脚:A电机输出端口。
第4脚:接逻辑控制的+5V电源。
第6脚:A桥使能端口。
第5、7脚:输入标准TTL电点平对A桥的输出OUT1、OUT2进行控制。
第8脚:接电源地。
第9脚:接电机驱动电源,最高可达50V。
第11脚:B桥使能端口。
第10、12脚:输入标准TTL电平对B桥的输出OUT3、OUT4进行控制。
第13、14脚:B电机输出端口。
5、直流电机(1)实物图(2)原理因为一个驱动芯片L298N 可驱动两个直流电机,可我们这次购买的小车有四个直流电机,所以我们采用两两并联的方法,即左侧两个并联,右侧两个并联。
这样就可以使每一侧的两个电机步调一致起来,便于控制。
四、系统硬件电路设计(各模块的硬件连接关系)五、CPLD 控制模块内各单元模块的设计1、PWM (脉冲宽度调制)(1) VHDL 程序library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity pwm is port(clk:in std_logic;a:in std_logic_vector(3 downto 0);pwmout:out std_logic); end pwm;architecture cond of pwm issignal count :std_logic_vector(3 downto 0); beginprocess(clk) begin驱动模块电源模块 红外寻迹模块CPLD 控制模块直流电机寻迹信号左右if(rising_edge(clk))thenif(count="1001")thencount<="0000";elsecount<=count+1;end if;if(count<a)thenpwmout<='1';elsepwmout<='0';end if;end if;end process;end cond;(2)原理图PWM是建立在十进制加减计数器上的分频结构,在CLK上升沿从0~9的范围内计数个数小于A时输出高电平‘1’,否则输出‘0’,其相当于速度控制单元。
(3)仿真波形2、主要控制模块(1)原理和功能根据小车前面的四个红外传感器所检测到的信号控制两端电机的转速。
1)当小车需要正常前进时,左侧两个电机的转速应等于右侧两个电机的转速。
2)当小车需要左小拐时,左侧两个电机的转速应略小于右侧两个电机的转速。
3)当小车需要左大拐时,左侧两个电机的转速应比右侧两个电机的转速小得多。
4)当小车需要右小拐时,左侧两个电机的转速应略大于右侧两个电机的转速。
5)当小车需要右大拐时,左侧两个电机的转速应比右侧两个电机的转速大得多。
6)当小车需要停止时,左侧两个电机的转速和右侧两个电机的转速应都为零。
(2)VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY ctl ISPORT(p1,p2,p3,p4:IN STD_LOGIC;temp1,temp2: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ctl;ARCHITECTURE behave OF ctl ISBEGINPROCESS(p1,p2,p3,p4)V ARIABLE TEMP: STD_LOGIC_VECTOR(3 DOWNTO 0):="0000";BEGINTEMP:=p1&p2&p3&p4;IF (TEMP="0000")THENtemp1<="1000";temp2<="1000";ELSIF(TEMP="0010")THENtemp1<="1000";temp2<="0011";ELSIF(TEMP=("0001")OR TEMP=("0011"))THENtemp1<="1001";temp2<="0001";ELSIF(TEMP="0100")THENtemp1<="0011";temp2<="1000";ELSIF(TEMP=("1000")OR TEMP=("1100"))THENtemp1<="0001";temp2<="1001";ELSEtemp1<="0000";temp2<="0000";END IF;END PROCESS;END behave;(3)原理图P1~P4分别对应小车从左到右四个传感器,‘1’电平表示压线‘0’表示正常,若左轮压线则右轮车速大于左轮,即TEMP2 > TEMP1,通过程序给定的TEMP数值可以控制左右轮的相对速度大小,即实现循迹转弯。