2fsk课程论文
2FSK数字调制通信系统

毕业设计论文设计/论文题目:2FSK数字调制通信系统的毕业设计班级:姓名:指导老师:完成时间: 2009.122FSK数字调制通信系统的设计摘要调制解调器是通信系统中的关键设备,其性能的好坏直接关系到整个系统的性能。
本次设计的FSK调制系统具有抗干扰、抗噪声、抗衰减性能较强、技术复杂程度比较低、成本低等诸多优点,因而广泛应用与实际电路中。
在中低速数据传输通信系统中得到了较为广泛的应用。
此外,许多集成芯片里也用到了FSK调制技术。
本次设计的FSK电路可广泛用于计算机网络、办公自动化、远程自控系统及移频通信中。
现代通信系统要求通信距离远、通信容量大、传输质量好。
作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。
从最早的模拟调幅调频技术的日臻完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠.。
采用FSK调制方式的主要优点是:①无需载波恢复,大大降低了系统复杂度。
②对幅度的非线性抗干扰能力强。
因为FSK信号为恒包络信号,其信息完全包含在信号的过零点上,所以比起调幅信号,其对幅度非线性抗干扰能力要强。
③调制解调易用软硬件实现,简单易懂。
关键字: VHDL语言;2FSK调制;2FSK解调;MFSK调制2FSK Digital modems Communication System DesignSummaryModem is the key equipment of communications system; its performance will have a direct effect on the performance of the whole system. The design of the FSK modulation system has advantages such as anti-jamming, anti-noise, high-attenuation performance, relatively low technical complexity and low cost, thus widely applying in actual circuit. It has been more widely used in the low-speed data transmission communication system. In addition, many integrated chip also used the FSK modulation technique.The design of the FSK circuit can be widely used in computer networking, office automation, remote-controlled system and the frequency shift in communication. Modern communication systems require far distance communications, telecommunications capacity, and transmission quality. As one of the key technologies of its modem technology has always been an important researching direction of people. From the earliest AM FM analog technology improving, and now digital modulation of the extensive use of technology, making the transmission of information more effective and reliable. The main advantages of using FSK modulation are: ①without carrier recovery, significantly reducing system complexity. ② strong anti-interference capability on the rate of non-linear. Because the FSK signals are constant envelope signals, the information are totally included in the over 0.1 signal point, rather than AM signals, having stronger anti-interference capability on the rate of non-linear. ③ modem is easy to achieve by using software and easy-to-understand.Key word: VHDL Language;The 2FSK make;The 2FSK solution adjust;The MFSK make绪论如今社会通信技术的发展速度可谓日新月异,计算机的出现在现代通信技术的各种媒体中占有独特的地位,计算机在当今社会的众多领域里不仅为各种信息处理设备被使用,而且它与通信向结合,使电信业务更加丰富。
2FSK数字信号频带传输系统的设计和建模论文

目录1 课设设计要求 (2)1.1 题目的意义 (2)1.2 设计要求 (2)2 FSK设计原理和方案 (3)2.1 FSK的调制 (3)2.1.1 直接调频法 (3)2.1.2 频率键控法 (3)2.1.3 基于FPGA的FSK调制方案 (4)2.2 FSK的解调 (4)2.2.1 同步(相干)解调法 (5)2.2.2 FSK滤波非相干解调法 (5)2.2.3 基于FPGA的FSK解调方案 (6)3 FSK设计的程序与仿真 (7)3.1 FSK基于HDL语言调制 (7)3.1.1 FSK调制程序 (7)3.1.2 FSK调制仿真 (9)3.1.3FSK调制电路 (10)3.2 FSK基于VHDL语言解调 (11)3.2.1 FSK解调程序 (11)3.2.2FSK解调仿真 (13)3.2.3 FSK解调电路 (13)4心得体会 (14)参考文献 (15)1 课设设计要求1.1 题目的意义数字调制技术是现代通信的一个重要内容,在数字通信系统中由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。
数字调制同时也是数字信号频分复用的基本技术。
数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。
后一种方法通常称为键控法。
例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。
移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。
以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。
DSPBuilder的AM_2ASK2FSK信号调制的实现论文

编号:EDA技术与Verilog HDL设计报告题目:DSPBuilder的AM 2ASK2FSK信号调制的实现院(系):计算机与控制学院专业:控制理论与控制工程学生姓名:潘鑫龙学号:112081205同作者:李娇指导教师:潘明职称:副教授实验研究✓工程设计软件开发2011 年11 月29 日摘要(内容采用小四号宋体)AM幅度调制信号发生器的设计是基于双DDS信号发生器以及乘法器混频的设计。
本设计采用从Quatuse到MATLAB—Simulink的交互软件——DSP_Building设计。
所用的主要器件有:Constant、Input、Output、Bus Concatenation、AltBus、Adder、Delay、LUT、Multiplexer、Product、Bus Conversion、Signal Compiler、Scope(仿真器件)。
其中调制信号由DDS信号源与调制度m相乘得到,并且通过信号上移保证调制波的单号性(全“+”),载波同样由另一路DDS信号发生器产生,并且其信号的频率为调制波信号的数倍(主要由DDS中的递增量决定)。
将二者用乘法器调制为所需的AM波。
对比2005年的电赛本设计,本设计扩展了2ASK和2FSK调制并且增加了原DDS输出,并且在设计中试用了不同的数据传输处理模式。
最终完成Matlab 和Quatuse的双仿真并下载仿真,经验证设计指标满足要求。
关键词:AM调幅波、AM调制波、载波、混频、DDS信号发生器、多路选择器AbstractAM amplitude modulation signal generator design is based on dual DDS signal generator and the multiplier mixer design. This design uses the MATLAB-Simulink from Quatuse interactive software - DSP_Building design. The main components used are: Constant, Input, Output, Bus Concatenation, AltBus, Adder, Delay, LUT, Multiplexer, Product, Bus Conversion, Signal Compiler, Scope (emulation device). Which modulated signal from the DDS signal source and the modulation m multiplied, and modulated by the signal wave on the move to ensure that a single number of (full, ""), the carrier the same way by another DDS signal generator, and the frequency of the signal modulated wave signal several times (mainly determined by the amount of increase in DDS). Multiplier will be used both for the desired AM modulation wave. Comparison, in 2005 the power game of the design, the design extends 2ASK and 2FSK modulation and increased raw DDS output, and the design of the trial in a different data processing mode. The final completion of the double-simulate Matlab and Quatuse and download simulation, proven design specifications to meet the requirements. Key words:AM amplitude modulation wave; AM modulation wave; carrier; mixing; DDS signal generator; multiplexer1目录引言 (3)1 实验原理 (4)2 DDS设计 (4)2.1 调制度m的设置 (6)2.2 AM调制的整体设计 (6)2.3 2ASK、2FSK调制的整体设计 (8)2.4 信号的功率谱及带宽 (9)2.4.1 2FSK信号的产生 (9)2.4.2 2FSK信号的调制方法 (10)3 设计编译下载 (12)3.1 singnal compiler (13)3.2 QUARTUS2的编译、分配管脚、仿真、和设计 (13)4 设计注意事项 (15)5 结论 (16)谢辞 (18)参考文献 (19)附录 (20)附录1程序VHDL描述 (20)引言本次设计是基于Matlab的simulink功能和DSPBuilder来设计FPGA程序、仿真以及下载仿真。
2FSK 设计论文

目录摘要 (2)一、总体论述 (2)1.1设计任务 (3)1.2输入要求 (3)1.3输出要求 (3)1.4性能指标 (3)1.5理论电路功能 (3)1.6实际电路功能 (3)二、方案选择 (3)三、各单元电路设计 (3)3.1分频器 (4)3.2选频电路 (5)3.3射极跟随器 (6)3.4数字键控制开关 (7)四、总电路图及仿真波形 (8)五、运行描述 (10)六、制作调试过程 (10)七、器件清单 (11)八、设计总结 (11)九、参考文献 (12)摘要本设计主要是采用Proteus 来实现2FSK调制,并绘制出解调前后的时域波形。
用Proteus 实现2FSK仿真,可以为硬件电路实现提供理论依据。
通过仿真展示了2FSK实现的设计思路及具体过程,并更充分地了解其调制与解调的过程。
关键字:Proteus 2FSK 仿真一、总体论述1.1、设计任务使用proteus仿真软件进行2FSK调制电路的仿真,熟悉2FSK调制电路的原理,其中有分频器、滤波器、射极跟随器的设计,并在此基础上做出实物1.2、输入要求在输入+5V的情况下,输入CLK和AK信号。
1.3、输出要求在输入+5V的情况下,输入CLK和AK信号,CLK信号在通过分频器后形成两个不同频率的信号,通过开关选择电路输出这两个不同的独立的频率,在每个码元期间输出这两个频率之一,形成疏密相间的正弦波。
1.4、性能指标1.5、理论电路的功能在输入+5V的情况下,输入CLK和AK信号,输出两个不同的独立的频率,在每个码元期间输出这两个频率之一,形成疏密相间的正弦波。
1.6、实际电路的功能在输入+5V的情况下,输入CLK和AK信号,输出两个不同的独立的频率,在每个码元期间输出这两个频率之一,形成疏密相间的正弦波,但波形有所失真。
二、方案选择2FSK信号的产生方法主要有两种。
第一种是用二进制基带矩形脉冲信号去调制一个调频器,如(a)图所示,使其能够输出两个不同频率的码元。
基于System View的2FSK调制解调器设计

目前,随着科学技术的迅猛发展,信息技术已成为促进社会发展的强大动力。
通过广泛的传播与利用,信息才可显示其真正的价值。
信息凭借着各种通信技术才得以传播。
对于通信技术而言,通信质量的好坏对于信息传递至关重要。
相对于模拟通信系统,数字通信系统在此方面具有更多的优点。
为了使基带信号的功率谱搬移到较高的载波频率上和实现远距离传输通信,我们通常采用三种方法进行数字调制:相移键控(PSK)、频移键控(FSK)和幅移键控(ASK)。
当调制信号为二进制数字信号的调制方式称为二进制数字调制,又可分为二进制相移键控(2PSK)、二进制频移键控(2FSK)和二进制幅移键控(2ASK)等多种基本类型。
本毕业设计主要通过System View软件,设计一个2FSK调制解调器,并用System View软件实现该调制解调器,从而对2FSK系统进行仿真,观察仿真并进行波形分析,分析2FSK的信号的调制解调方式和频谱特性,对2FSK的抗噪声性能分析从而进行系统的性能评价。
关键词:System View;2FSK; 调制;解调At present, with the rapid development of science and technology, information technology has become a strong force to promote the development of rmation can show its real value through extensive communication and utilization.It is the all kinds of communication technology that spread information.For communication technology, the communication quality is vital for information pared with the analog communication system, digital communication system has more advantages in this aspect.In order to make the baseband signal power spectrum to a higher carrier frequency and realize remote transmission communication, We usually adopt three methods for digital modulation: phase shift keying (PSK)、frequency shift keying (FSK) and amplitude shift keying (ASK). When the modulated signal is a binary digital signals, we called binary digital modulation.Binary is divided into binary phase shift keying (2PSK)、binary frequency shift keying (2FSK)、binary amplitude shift keying (2ASK) and other basic types.This graduation design is mainly through the System View software, to design a 2FSK modem and to realize the modem by use of the System View software in order to the simulation of the 2FSK system,observe the simulation and analyse the waveform,analyse the methods of modulation and demodulation and the spectrum properties of 2FSK signal.Keywords:System View; 2FSK; Modulation; Demodulation;目录第一章绪论 (5)1.1课题的来源 (5)1.2课题的意义 (5)1.3 System View系统 (6)1.4主要研究内容 (6)第二章System View的介绍 (7)2.1 System View概述 (7)2.1.1 System View简介 (7)2.1.2 System View主要原件介绍 (7)2.1.3 System View特点 (9)2.1.4 System View的应用领域 (10)2.1.5 System View的使用 (10)2.2 System View系统视窗 (10)2.2.1 主菜单功能 (10)2.2.2 图符库选择按钮 (14)2.2.3 快捷功能按钮 (16)2.3 System View的用户环境 (16)2.4 System View的操作步骤 (16)2.5 本章小结 (18)第三章2FSK的基本原理 (19)3.1 调制解调的简介 (19)3.2 2FSK信号调制原理 (19)3.2.1 2FSK调制简述 (19)3.2.2 调频法 (19)图3-1 调频器 (20)3.2.3 键控法 (20)3.3 2FSK信号解调原理 (22)3.3.1 相干检测法进行2FSK信号的解调 (22)3.3.2 非相干检测法进行2FSK信号的解调 (23)3.3.3 差分检测法进行2FSK信号的解调 (23)3.3.4 过零检测法进行2FSK信号的解调 (24)3.4 本章小结 (24)第四章2FSK的System View仿真 (25)4.1 2FSK的信号调制仿真 (25)4.1.1键控法进行仿真 (25)4.1.2 调频法进行仿真 (26)4.2 2FSK的调制解调仿真 (28)4.2.1 相干法进行仿真 (28)4.3设计基本原理和系统框图 (34)4.3.1 2FSK调制部分 (34)4.3.2 2FSK解调部分 (35)4.4本章小结 (35)小结 (36)参考文献 (37)致谢 (39)第一章绪论1.1课题的来源目前,教学中最活跃的领域之一就是电子技术实验教学。
信息检索论文-基于2FSK非相干解调方法研究

基于2FSK非相干解调方法研究摘要:本文主要研究了2FSK 信号的两个频率差别较小非相干解调方法。
由于其功率谱曲线单峰或者双峰,但没有完全分离,不能采用包络检波的方法,通常采用过零检测法解调。
2FSK 信号通过模拟乘法电路,便可以实现2FSK 信号的频谱双峰的分离,可以采用包络检波的方法解调。
关键词:2FSK AM双重调制通信技术Based on 2FSK non-demodulation method studyZhangNanLiaoning Technical University Electronic and Information Academy Abstract:This article mainly studied the 2FSK signal two frequency difference to be small the non-demodulation method. Because its power spectrum curve unimodal or twin peaks, but does not have completely the separation, cannot use the envelope detection the method, usually uses the zero crossing detection law demodulation. The 2FSK signal through the analog multiplication electric circuit, then may realize the 2FSK signal frequency spectrum twin peaks separation, may use the envelope detection the method demodulation.Key word: 2FSK AM double modulation communication.1.引言在传统的频移键控信号的数字解调理论中,可分为两大类:一类是相干方式解调;另一类是非相干方式解调。
2FSK调制与非相干解调系统仿真
目录目录 (1)摘要 (2)1引言 (3)1.1 课程设计的目的 (3)1.2 课程设计的基本任务和要求 (3)1.3 设计平台 (4)2设计原理 (5)2.1 Simulink工作环境 (5)(1)模型库 (5)(2)设计仿真模型 (5)(3)运行仿真 (6)2.2 2FSK的调制与解调 (6)(1)2FSK的调制原理 (6)(2)2FSK的解调原理 (8)3 设计步骤 (10)3.1 2FSK信号调制 (10)(1)2FSK的调制部分 (10)(2)2FSK的调制部分参数设置 (11)(3)2FSK的调制部分仿真以及功率谱分析 (12)3.2 2FSK信号解调 (14)(1)2FSK的解调部分 (14)(2)2FSK的调制部分参数设置 (14)(3)2FSK的解调部分仿真以及功率谱分析 (16)3.3 加入高斯噪声的2FSK非相干解调 (18)4出现的问题及解决方法 (21)5 结束语 (22)参考文献 (23)2FSK调制与非相干解调系统仿真学生姓名:指导老师:摘要本课程设计主要运用MATLAB集成环境下的Simulink仿真平台设计进行2FSK调制与非相干解调系统仿真。
在本次课程设计中先根据2FSK调制与解调原理构建调制解调电路,从Simulink工具箱中找所各元件,合理设置好参数并运行,其中可以通过不断的修改优化得到需要信号,之后加入高斯,并分析对信号的影响,最后通过对输出波形和功率谱的分析得出2FSK调制解调系统仿真是否成功。
关键词Simulink;2FSK;调制;非相干解调Abstract This course is designed using MATLAB Simulink simulation environment integrated platform for DSB modulation and coherent demodulation system simulation. In this first course design in the DSB modulation and demodulation according to modem circuit built from Simulink toolbox to find the various components, a reasonable set parameters and run, in which changes can be optimized through continuous need for the signal, after Gaussian and analyze the impact of noise on the signal, and finally through the output waveform and power spectral analysis obtained 2FSK modem simulation was successful.Keywords Simulink; 2FSK; modulation; non-coherent demodulation1引言本次课程设计主要运用MATLAB软件,在Simulink平台下建立仿真模型。
(完整版)基于MATLAB的2FSK的调制与解调
(完整版)基于MATLAB的2FSK的调制与解调基于MATLAB 的2FSK 数字通信系统仿真课程设计目的二、课程设计内容在信道中,大多数具有带通传输特性,必须用数字基带信号对载波进行调制,产生各种已调数字信号。
可以用数字基带信号改变正弦型载波的幅度、频率或相位中的某个参数,产生相应的数字振幅调制、数字频率调制和数字相位调制。
也可以用数字基带信号同时改变正弦型载波幅度、频率或相位中的某几个参数,产生新型的数字调制。
本课程设计旨在根据所学的通信原理知识,并基于MATLAB 软件,仿真一2FSK 数字通信系统。
2FSK 数字通信系统,即频移键控的数字调制通信系统。
频移键控是利用载波的频率变化来传递数字信息。
在2FSK 中,载波的频率随二进制基带信号在f1 和f2 两个频率点间变化。
因此,一个2FSK 信号的波形可以看成是两个不同载频的2ASK 信号的叠加。
可以利用频率的变化传递数字基带信号,通过调制解调还原数字基带信号,实现课程设计目标。
三、2FSK 的基本原理和实现二进制频率调制是用二进制数字信号控制正弦波的频率随二进制数字信号的变化而变化。
由于二进制数字信息只有两个不同的符号,所以调制后的已调信号有两个不同的频率fl和f2,fl对应数字信息“ 1 ”,f2对应数字信息“ 0 ”在2FSK信号中,当载波频率发生变化时,载波的相位一般来说是不连续的,这种信号称为不连续2FSK信号。
相位不连续的2FSK通常用频率选择法产生,如图3-2所示:Xi图3-2 2FSK信号调制器两个独立的振荡器作为两个频率发生器,他们受控于输入的二进制信号进制信号通过两个与门电路,控制其中的一个载波通过。
调制器各点波形如图3-3所示:'1 1 1 °| 1 1! 1 D 0r1i—1 1TIT1"1i 1 'T:wwvwwwm:7 ww wf r\f\j t:“WVWWVtM r图3-3 2FSK调制器各点波形由图3-3可知,波形g是波形e和f的叠加。
基于MATLAB的2FSK调制系统的设计课程设计
课程设计(论文)题目名称2FSK调制系统的设计与仿真摘要2FSK是信息传输中使用得较早的一种调制方式.本文主要简述了2FSK的设计原理,设计步骤和设计结果及分析.设计原理包括了2FSK的介绍,调制原理和解调原理;设计步骤包括了2FSK信号的产生,调制和解调;设计结果及分析则包括了2FSK信号产生,调制和解调每一步的结果分析和用matlab实现上述的结果. 2FSK在中低速数据传输中得到了广泛的应用。
所谓FSK就是用数字信号去调制载波的频率。
关键字:2FSK;载波;调制解调目录第1章课程设计的任务与要求 (1)1.1 2FSK简介 (1)1.2 课程设计的任务 (1)1.3 课程设计的要求 (1)第2章课程设计的研究基础 (2)2.1数字通信系统的基本模型 (2)2.2 2FSK调制解调基本原理 (2)第3章2FSK调制解调系统方案设计 (4)3.1 方案提出 (4)3.2 方案比较 (5)第4章2FSK调制解调系统设计 (6)4.1各单元模块功能介绍及电路设计 (6)4.2 电路参数的计算及元器件的选择 (7)4.3系统整体电路图 (7)第5 章2FSK调制解调系统仿真和调试 (8)5.1 仿真软件介绍 (8)5.2 系统仿真实现 (9)5.3 系统测试 (10)5.4 数据分析 (11)第6章总结 (13)致谢 (14)参考文献 (15)附录源程序 (16)第1章课程设计的任务与要求1.1 2FSK简介数字信号的传输方式分为基带传输和带通传输。
然而,实际中的大多数信道因具有带通特性而不能直接传送基带信号。
为了使数字信号在带通系统中传输,必须用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。
这种用数字基带信号控制载波,把数字基带信号变换为数字带通信号的过程称为数字调制。
在接收端,通过解调器把带通信号还原为数字基带信号的过程称为数字解调。
数字调制的基本方式有三种:振幅键控(ASK)、频移键控(FSK)、相移键控(PSK)。
fsk调制技术论文
fsk调制技术论文有些网友觉得fsk调制技术论文文难写,可能是因为没有思路,所以小编为大家带来了相关的例文,希望能帮到大家!fsk调制技术论文篇一摘要在本二进制移频键控调制解调电路中,Multisim仿真,其中调制系统由模拟开关电路以及两个射随、选频电路组成。
解调是用非相干解调,即包络检波法。
本方案的优点是产生的2FSK信号频率稳定度好,转换速度快,波形好。
关键词:射随/选频电路;模拟开关;包络检波;目录摘要前言 (4)2FSK的调制解调原理介绍 (5)2.1 2FSK的调制原理 (5)2.2 2FSK信号的解调原理 (6)二、各单元电路设计 (8)3.1 2FSK调制单元 (8)3.1.1 射随、选频电路 (8)3.1.2 模拟开关电路 (8)3.2 2FSK解调单元 (9)三、总体电路与电路仿真 (10)4.1 总体电路设计 (10)4.2 调制和解调的仿真结果图 (10)参考文献 (13)设计总结 (14)附件1:各元件引脚图 (15)附件2:元器件清单 (16)前言2FSK是利用载频频率的变化来传输数字信息的。
数字载频信号有相位离散和相位连续两种情形。
若两个振荡频率分别由不同的独立振荡器提供,它们之间的相位互不相关,这就叫相位离散的数字调频信号;若两个振荡频率由同一振荡信号源提供,是对其中一个载频进行分频,这样产生的两个载波就是相位连续的数字调频信号。
一、2FSK的调制解调原理介绍1.1 2FSK的调制原理FSK信号的产生有两种方法:直接调频法和频移键控法。
直接调频法是用二进制基带矩形脉冲信号去调制一个调频器,如(a图)所示,使其能够输出两个不同频率的码元。
虽然方法简单,但频率稳定度不高,同时转移速度不能太高。
频移键控法有两个独立的振荡器。
它是用一个受基带脉冲控制的开关电路去选择两个独立频率源的振荡作为输出,(b图)所示。
以上两种方法产生的2FSK信号的波形基本相同,只是由调频器产生的2FSK信号在相邻码元之间的相位是连续的,如(c)图所示;而开关法产生的2FSK信号则分别由两个独立的频率源产生不同频率的信号,故相邻码元的相位是不一定连续的,如(d)所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1.1
(1)用vhdl语言实现2fsk系统的解调。
(2)实现2fsk系统的仿真波形图。
(3)掌握2fsk信号解调的常用方法。
(4)培养动手解决问题和逻辑思维能力。
1.2
在2fsk解调中,常用以下三种方法:
1.2.1
同步解调中,fsk信号解调原理方框图如图所示,可见fsk信号的同步解调分成上下两个之路,输入的fsk信号经过f1和f2了;两个带通滤波器后变成了上,下两路ask信号,之后其解调原理与ask相似,但判决需对上下两支路比较来进行。假设上支路低通滤波器输出为x1,下支路低通滤波器输出为x2,z则判决准则为
2.2.
判决器的作用主要是在调制信号的一个周期内,对计数器m的值进行判决。判决门限值可以根据调制信号和基带信号的频率的比值决定。比如f1:fclk=5:1,f2:fclk=2:1 则判决门限值x可以选择x=5 也可以选择x=2。这样通过判决器就可以恢复出原始的基带信号。判决器的门限也可以选3或者4,选3或者4的好处是使得解调器有一定的容错能力,能更好的解调出基带信号
2.2.4
此段代码涉及到判决门限的确定和计数器的工作原理以及输出,是本实验的核心代码。
begin
if q=11 then m<=0;--m计数器清零
elsif q=10 then
if m<=3 then y<='0';--if语句通过对m大小来判决y输出的电平
else y<='1';
end if;
elsif xx'event and xx='1' then m<=m+1;--计xx信号的脉冲个数
x1-x2>0 判输入信号为f1
X1-x2<0 判输入信号为f2
式中,x1,x2分别为抽样时刻两个包络检波器的输出值。这里的抽样判决器,要比较x1,x2大小,或者说把差值x1-x2与零电平来比较。因此, 又是这种比较判决器的判决门限为零电平。
当fsk信号为f1,上支路相当于ask系统接受1码的情况,其输出x1为正弦波加窄带高斯噪声的包络,他服从锐利分布,下支路输出的瞬时值服从莱斯分布。
1.2.2
fsk信号包络解调方框图如下图所示。可见,fsk信号包络解调相当于两路ask信号包络解调。用两个窄带的分路滤波器分别滤出频率为f1,f2的高频时钟,经包络检波后分别取出他们的包络。把两路输出同时送到抽样判决器进行比较,从而判决输出基带数字信号。
设频率f1代表数字信号1,f2代表0,则抽样判决器的判决准则为
else q<=q+1;
end if;
end if;
end process;
process(xx,q)--此进程完成fsk解调
begin
if q=11 then m<=0;--m计数器清零
elsif q=10 then
if m<=3 then y<='0';--if语句通过对m大小来判决y输出的电平
基于CPLD/FPGA的2fsk解调系统
授课学期2013学年至2014学年
第2学期
学院电子工程
专业通信工程
学号************
姓名杨童
任课教师傅得立
成绩
阅读教师签名
日期
基于CPLD/FPGA的2fsk解调系统
摘要:这篇论文将围绕2fsk解调而展开,首先,需对2fsk这一概念进行理解。2fsk,即二进制频移键控,它使用不同频率的载波来传输数字信号,并用数字基带信号的频率.二进制频移键控使用两个不同的频率的载波来代表数字信号的两种电平,其接收端收到不同的载波信号在进行逆变换称为数字信号,完成信息传输的过程。
图1
图2
2.2
2.2.
由于要计算调制信号一个周期内的过零点次数,所以用时钟计数器来计算调制信号的周期,如果时钟频率与FSK调制信号频率fclk:f=1:12,那么表示每12个CLK时钟周期发送一个FSK调制信号,即当q从0递增到12时,一个调制信号传输结束。
2.2.
根据过零检测法原理,通过检测一个信号传输周期内的过零点次数来判断基带信号。由于上升沿的个数就相当于FSK信号过零点的个数,那么计数器的主要功能就是在调制信号的一个周期内,对信号X的上升沿进行计数。
else y<='1';
end if;
elsif xx'event and xx='1' then m<=m+1;--计xx信号的脉冲个数
end if;
end process;
end behav;
7.
1………………………………….《基于fpga的通信系统的建模与设计》
2………………………………….《EDA应用设计》
5.
通过本次实验,主要锻炼了我们小组查阅课本和利用资源解决问题的能力,而且让我们对quartus这款软件有了更多的了解,当然,在实现该系统的过程中也遇到了许多问题。如:在第一次敲完代码,进行仿真的时候仿,不知为何y没图形,仔细检查,代码均无问题,当试验进展陷入僵局时,经过查阅网络资料,询问同学,才发现没有我在没有生成netlist的情况下就直接进行模拟了,经过努力,终于使问题得到了解决。最后,感谢老师这一学期的教学与指导,让我们掌握了一门新的技术。
begin
process(clk)--对系统时钟进行q分频
begin
if clk'event and clk='1'then xx<=x;--在clk信号上升沿时,x信号对中间信号xx赋值
if start='0'then q<=0;--if语句完成q的循环计数
elsif q=11 then q<=0;
由上分析可知,无论输出的fsk信号是f1还是f2,两路输出总是为一路莱斯分布,另一路为瑞丽分布,而判决准则仍为上式,因此可判决出fsk信号
1.2.3
过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率。输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲代表信号的一个过零点,尖脉冲重复的频率是信号频率的两倍。将尖脉冲去触发一单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率,即输入频率信号成正比。所以经过低通滤波器的输出平均量的变化反映了输入信号的变化,这样就完成了频率一幅度的变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。,
end if;
end process;
end behav;
2.3
3.
3.1使用fpga芯片型号EP2C20Q240C8
3.2芯片内各种资源的使用情况
4
本实验的模拟仿真图如下,现对下模拟仿真图进行分析:
clk为时钟,start相当于使能端,需使其常置于1,x为输入,y为输出,,m为计数器,在y一个周期内,当q等于10时,如若m小于4,则y输出为0,m等于4,5时,则输出y输出高电平1。一个周期过后,计数器m清零,进入下一次循环。
x1-x2>0判输入信号为f1
x1-x2<0判输入信号为f2
当输入的fsk信号振荡频率为f1,上支路经带通后有正弦信号Acos2 f1t存在,与ask系统接收到1码的情况相似。进过低通滤波器,x1=A.而下支路带通滤波器输出为0,与ask系统接收到0码时的情况类似。故x2=0。显然x1-x2=a-0>0,按判决准则判断输入为f1。反之,则为f2.因此可以判决出fsk信号。
2.
2.1
2fsk解调方框图如图1所示,2fsk解调电路的vhdl建模符号如图2所示。该模型的核心部分有分频器,寄存器,计数器和判决器组成。且,2fsk解调器的分频输出为较高的那个载波信号。由于f1和f2的周期不同,若舍f1=2f2,且基带信号电平1对应f1;基带信号电平0对应f2,在图1中计数器以f1为时钟信号,上升沿计数,基带信号1码元对应的技术个数为1/f1,基带信号0阿元对应的计数个数为1/f2,计数器根据两个不同的技术情况对应输出0和1两种电平,判决器以f1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号,图中没有包含模拟电路部分,调制电路为数字信号形式。
6.
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;use ieee.std_logc_unsigned.all;
entity fsk is
port(clk :in std_logic;--系统时钟
start :in std_logic;--同步信号
x :in std_logic;--调制信号
y :out std_logic);--基带信号
end fsk;
architecture behav of fsk is
signal q:integer range 0 to 11;--分频计数器
signal xx:std_logic;--寄存器
signal m:integer range 0 to 5;--计数器
3………………………………….《通信原理》