实验一-QUARTUS-II入门和分频器设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验一-QUARTUS-II入门和分频器设计

实验报告

课程名称EDA技术与VHDL设计

实验项目Quartus II入门

实验仪器计算机、Quartus II

系别信息与通信工程学院

专业电子信息工程

班级/学号电信1201 / 2012010970 学生姓名张宗男

实验日期

成绩

指导教师

实验一 QUARTUS II入门和分频器设计

一、实验目的

1.掌握QUARTUS II工具的基本使用方法;2.掌握FPGA基本开发流程和DE2开发板的使用方法;

3.学习分频器设计方法。

二、实验内容

1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。

三、实验环境

1.软件工具:QUARTUS II 软件;开发语言:VHDL;2.硬件平台:DE2实验开发板。

四、实验过程

1.设计思路

(1)、

18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、

实现10分频IF(count="1001") THEN

count<="0000";

clk_temp<=NOT clk_temp;

达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。

2.VHDL源程序

(1)、

LIBRARY ieee;

USE ieee.std_logic_1164.all;

ENTITY e_zhangzongnan IS

PORT(SW :IN STD_LOGIC_VECTOR(0 TO

17);

HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

LEDR :OUT STD_LOGIC_VECTOR(0 TO 17));

END e_zhangzongnan;

ARCHITECTURE Behavior OF e_zhangzongnan IS SIGNAL temp :STD_LOGIC_VECTOR(0 TO 3); BEGIN

LEDR<=SW;

temp(3)<=SW(0);

temp(2)<=SW(1);

temp(1)<=SW(2);

temp(0)<=SW(3);

PROCESS(temp)

BEGIN

CASE temp IS

WHEN "0000"=>HEX0<="0000001";

WHEN "0001"=>HEX0<="1001111";

WHEN

"0010"=>HEX0<="0010010";

WHEN "0011"=>HEX0<="0000110"; WHEN "0100"=>HEX0<="1001100"; WHEN "0101"=>HEX0<="0100100"; WHEN "0110"=>HEX0<="0100000"; WHEN "0111"=>HEX0<="0001111"; WHEN "1000"=>HEX0<="0000000"; WHEN "1001"=>HEX0<="0000100"; WHEN OTHERS=>HEX0<="1001000";

END CASE;

END PROCESS;

END Behavior;

(2)、

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY f_zhangzongnan IS

PORT(clk: IN STD_LOGIC;

clk_div10: OUT STD_LOGIC);

END ENTITY f_zhangzongnan;

ARCHITECTURE rtl OF f_zhangzongnan IS

SIGNAL count: STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL clk_temp: STD_LOGIC;

BEGIN

PROCESS(clk)

BEGIN

IF(clk'event AND clk='1') THEN IF(count="1001") THEN

count<="0000";

clk_temp<=NOT clk_temp;

ELSE

count<=count+1; END IF;

END IF;

END PROCESS;

clk_div10<= clk_temp;

END ARCHITECTURE rtl;

实验结果分析

(1)、

能够实现相应的功能。

(2)、

从波形图可以看出,能够实现10分频。

六、实验总结

通过这次实验,我对QUARTUS的新建,输代码,运行,仿真,烧写,和学习板的操作都相应的有了认识和学习,通过代码的编写和修改,对EDA语言有了比课堂上更加深刻的认识和掌握,为以后的学习奠定了一定的基础。

相关文档
最新文档