基于VHDL的多功能数字钟设计报告

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

基于VHDL的多功能数字钟

设计报告

021215班

卫时章

02121451

一、设计要求

1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。

2、设计精度要求为1秒。

二、设计环境:Quartus II

三、系统功能描述

1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。

2、系统输出:LED显示输出;蜂鸣器声音信号输出。

3、多功能数字电子钟系统功能的具体描述如下:

(一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。

(二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。

(1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。

(4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。

(三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。

(四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。

(五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。

四、各个模块分析说明

1、分频器模块(freq.vhd)

(1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出

1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。

(2)源程序:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity freq is

port (CLK: in std_logic ; --输入时钟信号

q1KHz: buffer std_logic;

q500Hz: buffer std_logic;

q2Hz: buffer std_logic;

q1Hz: out std_logic);

end freq;

architecture bhv of freq is

begin

P1KHZ:process(CLK)

variable cout:integer:=0;

begin

if CLK'event and CLK='1' then

cout:=cout+1; --每来个时钟上升沿时cout开始计数

if cout<=25000 then q1KHz<='0'; --当cout<=25000时,q1KHz输出“0” elsif cout<50000 then q1KHz<='1'; --当25000

else cout:=0; --输出“1”,完成1KHz频率输出

end if;

end if;

end process;

P500HZ:process(q1KHz) --q1KHz作为输入信号,分出q500Hz variable cout:integer:=0;

begin

if q1KHz'event and q1KHz='1' then

cout:=cout+1;

if cout=1 then q500Hz<='0'; --二分频

elsif cout=2 then cout:=0;q500Hz<='1';

end if;

end if;

end process;

P2HZ:process(q500Hz)

variable cout:integer:=0;

begin

if q500Hz'event and q500Hz='1' then

cout:=cout+1;

if cout<=125 then q2Hz<='0';

elsif cout<250 then q2Hz<='1';

else cout:=0;

end if;

end if;

end process;

P1HZ:process(q2Hz)

variable cout:integer:=0;

begin

if q2Hz'event and q2Hz='1' then

cout:=cout+1;

if cout=1 then q1Hz<='0';

elsif cout=2 then cout:=0;q1Hz<='1';

end if;

end if;

end process;

end bhv;

(3)模块图:

2、控制器模块(contral.vhd)

(1)模块说明:输入端口k,set键来控制6个状态,这六个状态分别是:

显示计时时间状态,调计时的时、分、秒的3个状态,调闹铃的时、分的3个状态,reset键是复位键,用来回到显示计时时间的状态。

(2)波形仿真图:

(3)模块图:

相关文档
最新文档