每层电梯的入口处设有上下请求开关

合集下载

三级教育试卷及答案

三级教育试卷及答案

三级教育试卷及答案凌河湾御景苑B5#、B6#、CK4#楼年度公司级安全教育培训试卷姓名:身份证号码:得分:一.单选题(每题5分)1.从业人员应当服从安全生产管理,遵守()和操作规程。

A.安全生产规章制度B.劳动纪律C.法律法规D.行业标准2.在安全生产方面,生产经营单位的从业人员有依法获得()的权利。

A.安全生产保障B.人身自由C.工资D.加班费3.消防工作贯彻()的方针,坚持专门机构及群众相结合的原则,实行防火安全责任制。

A.预防为主B.安全第一,预防为主C.预防为主,防消结合D.统一管理,防消结合4.从事高处作业的工人,必须年满()周岁。

A.16B.18C.20D.255.根据《建设工程安全生产管理条例》的规定,作业人员有权()。

A.拒绝接受施工安全技术交底 B.修改施工交底和施工方案C.拒绝违章指挥和强令冒险作业D.修改工艺规程二.多选题(每题8分)1.安全生产的目的包括()。

A.防止和减少生产安全事故B.保障人民群众生命和财产安全c.促进经济发展d.降低工程成本e.加快工程进度2.目前出现建筑施工事故的主要类型是()。

a.坠落事故b.物体打击事故c.各种坍塌事故d.起重伤害事故e.触电事故3。

国家规定的特种作业,与建筑行业相关的有()。

A.电工作业B.金属焊接切割作业C.起重机械(含电梯)作业D.登高作业E.压力容器操作4.施工单位在采用()时,应当对作业人员进行相应的安全生产教育培训。

A.新技术B.新工艺C.新管理D.新设备E.新材料5.建筑施工企业和作业人员在施工过程中,应当()。

A.遵守有关安全生产的法律B.遵守有关安全生产的法规C.遵守建筑行业安全规章D.遵守建筑行业安全规程E.不得违章指挥和违章作业三。

是非题(每题3分)1.从业人员发现事故隐患或其他不安全因素,应当立即向现场安全管理人员报告。

()2.特种作业人员必须取得建筑特种作业操作资格证书,方可上岗作业。

()3.完全可以避免建筑安全施工和职业病。

初级消防设施操作员考试题及参考答案

初级消防设施操作员考试题及参考答案

初级消防设施操作员考试题及参考答案一、单选题(共41题,每题1分,共41分)1.普通房屋和构筑物的设计使用年限是()。

A、5B、100C、25D、50正确答案:D2.利用消除助燃物的原理,往着火的空间充装惰性气体、水蒸汽等,这样的灭火方法称之为()。

A、抑制法B、隔离法C、窒息法D、冷却法正确答案:C3.()是指探测火灾早期特征,发出火灾报警信号,为人员疏散、防止火灾蔓延和启动自动灭火设备提供控制与指示的消防系统。

A、火灾警报系统B、火灾自动报警系统C、自动灭火系统D、消防联动控制系统正确答案:B4.轻便消防水龙的喷射性能要求为:在大于设计压力的()倍工作压力下喷射,其流量、射程满足要求。

A、1.5B、2.5C、0.5D、1.2正确答案:D5.自来水管用轻便消防水龙的工作压力为()MPa,直流射程≥()m、直流流量≥()L/min。

A、0.80;5;15B、0.24;5;15C、0.25;5;15D、0.25;2;15正确答案:C6.闸阀阀板处于关闭状态位置时,进水口应能承受()于额定工作压力的静水压,保持5min,阀门各密封处应无渗漏。

A、1倍B、4倍C、3倍D、2倍正确答案:D7.化学氧消防自救呼吸器采用循环式闭路呼吸系统,使用时呼吸系统与外界空气(),不受外界环境有害气体的影响。

A、隔绝B、分隔C、连通D、隔离正确答案:A8.()由干粉罐、氮气瓶组、管道、阀门、干粉炮、动力源和控制装置等组成。

A、干粉炮系统B、水炮系统C、泡沫炮系统D、自动跟踪定位射流灭火系统正确答案:A9.()由泡沫混合液泵、泡沫比例混合器、高背压泡沫产生器、泡沫喷射口、泡沫混合液管线、闸阀、单向阀、囊式泡沫液储罐以及水源和动力源组成。

A、半固定式液上喷射泡沫灭火系统B、泡沫-水喷淋灭火系统C、固定式液下喷射泡沫灭火系统D、固定式液上喷射泡沫灭火系统正确答案:C10.过滤式消防自救呼吸防护头罩一般采用()棉布制造,表面涂覆一层铝箔膜。

电梯控制系统方案

电梯控制系统方案

XX小区BOSK电梯管理系统方案深圳市博思凯电子有限公司目录第一章概述 (3)第二章系统需求分析 (4)第三章系统设计目标及原则 (5)3.1系统设计目标 (5)3.2系统设计原则 (5)第四章系统解决方案及技术描述 (6)4.1系统概述 (6)4.2系统基本功能及特点 (6)4.3系统结构 (7)第五章设备介绍 (15)8.DPU-9906楼层信号采集器 (17)第六章工作原理 (18)第七章系统设备清单及价格 (21)第八章工程实施 (22)第九章售后服务 (24)第十章质量保证 (25)第一章概述1.概述:物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。

LMS9905是专门用于楼宇的电梯控制和集成的电梯专用控制器。

通过采用LMS9905对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。

使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。

未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。

控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。

对于访客管理,采用与对讲系统联动控制,即访客接通住户室内分机通话后,住户通过室内分机旁的电梯按钮将信号发送给IC卡层控电梯系统,系统接收到住户的开梯信号后,启动电梯下到一层,并开放住户层(其它层不开放),访客即可进入轿箱按下住户层键,启动电梯只上到住户层。

通过IC卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级。

LMS9905基于ELSECURE-lift控制软件平台使用的一个控制模块,它与DPU系列门禁控制系统相互兼容,可以与DPU9906控制器结合对讲系统,组成一个强大的保安系统网络,来对访客进行有效使用的管理。

2023年注册消防工程师之消防技术综合能力综合练习试卷A卷附答案

2023年注册消防工程师之消防技术综合能力综合练习试卷A卷附答案

2023年注册消防工程师之消防技术综合能力综合练习试卷A卷附答案单选题(共30题)1、《注册消防工程师制度暂行规定》对注册执业进行了规定:取得注册消防工程师资格证书人员,经注册方可以相应级别注册消防工程师名义执业。

注册消防工程师应当在(),开展与该机构业务范围和本人资格级别相符消防安全技术执业活动。

A.消防机构B.高层建筑物业单位C.一个经批准消防技术服务机构或者消防安全重点单位D.机关、团体、企业、事业单位【答案】 C2、在对建筑灭火器进行检查时,应注意检查灭火器箱与地面距离,根据现行国家消防技术标准,嵌墙式灭火器箱安装高度应满足手提式灭火器顶部离地面距离不大于()m。

A.0.8B.1.0C.1.2D.1.5【答案】 D3、下列关于消防给水管网维护管理说法中错误是()。

A.每季度对系统所有末端试水阀和报警阀放水试验阀进行一次放水试验,并应检查系统启动、报警功能以及出水情况是否正常B.每月对电动阀和电磁阀供电和启闭性能进行检测C.在市政供水阀门处于完全开启状态时,每周对倒流防止器压差进行检测D.每天对水源控制阀进行外观检查,并应保证系统处于无故障状态【答案】 C4、下列关于干粉灭火系统组件设置及选型说法错误是()。

A.喷放干粉时不能自动关闭防护区开口其总面积不应大于该防护区总内表面积10%B.喷放后48h内不能恢复到正常工作状态时灭火剂应有备用量C.全淹没灭火系统干粉喷射时间不应大于30sD.管道应采用无缝钢管,并在管网留有吹扫口【答案】 A5、在消防给水系统中,临时高压消防给水系统是按照()进行分类。

A.管网形式B.灭火方式C.用途D.水压【答案】 D6、人员密集场所消防安全评估的工作程序和步骤主要包括前期准备、现场检查、评估判定和报告编制。

其中现场检查时可选用的检查方法不包括()。

A.资料核对B.问卷调查C.确定评估单元D.功能测试【答案】 C7、下列防火分隔措施检查结果中,符合现行国家消防技术标准是()。

门系统

门系统

(4)轿门闭合时,门刀向左运动。门刀对摆 臂滚轮的推动力,使摆臂受到顺时针回转的力。 但由于锁臂被撑杆顶住,不能转动,而将其力 传给层门,使层门闭合。当接近闭合位置时, 撞击螺钉在门运动力的作用下,撞开撑杆,锁 臂在顶杆弹簧力的作用下迅速复位,与层门架 上的锁钩吻合,将门锁住。同时,锁头将微动 开关压合,接通电梯控制回路,电梯继续运行。
1、接触式保护装置
接触式保护装置又称安全触板,它由门触板、控制 杆和微动开关组成。其结构见下图。平时,触板在自重 的作用下,凸出门扇30mm左右。当门在关闭过程中, 如果有人或物尚未完全进入轿厢,那首先碰到的,将是 凸出门扇的触板,当触板被推入门扇时,控制杆就会转 动,控制杆凸轮压下微动开关触头, 使门电动机迅速反转,门重新被打开。 一般,中分式门的安全触板双侧安装。旁开式门则 单侧安装。
为了增加其灵敏度和更好的起保护作用,常在门边 上装几组红外发光装置,见下图。它由两组红外发光装 置组成,两组红外发光装置S1S2,S3S4发射出 两组四道红外光A、B、C、D,分别由E1E2和E 4E3接收。当轿门关闭时,若光线A和B被遮断,则 轿门停止运动,待乘客离开开门区后继续关闭。若光线 C和D也被切断,则轿门会重新打开。
由于轿门和层门之间没有机械方面的联动关 系,所以开门或关门时,司机必须先开轿门后 再开层门,或者先关层门后再关轿门。 采用手动门的电梯,必须是有专职司机控 制的电梯。开关门时,司机必须用手依次关闭 或打开轿门和层门。所以司机的劳动强度很大, 而且电梯的开门尺寸越大,劳动强度就越大。 常用的拉杆门锁装置如下图所示。
第三节
轿门、厅门和开关 门系统
(一)门的类型与结构
(二)开、关门机构
(三)门入口处的安全保护装置
(四)层门楼层指示与召唤盒

电梯运行与安全管理技术课件4.2

电梯运行与安全管理技术课件4.2
15.禁止单人在自动扶梯开口部位或开口部位周边及桁架内 进行单独作业。
电梯安装与维修保养专业 电梯运行与安全管理技术
知识准备
学习任务4.2 自动扶梯的安全使用与日常管理
一、自动扶梯的安全操作规程 16.检修运行时,如果在拆除梯级的状态下运行不可从空梯 级上通过。 17.释放制动器时,应使用专用释放工具。 18.保养作业时(除机房内作业外),如果要拆除盖板,只 能拆除梳齿板侧的一块盖板。 19.自动运行、检修运行的操作规程 自动运行是指在有自我保持回路的状态下,连续地电动运 行。检修运行是指不能连续运行,只能慢速和点动的电动运行。 自动运行与检修运行应遵守以下事项: ⑴应确认手动盘车旋柄、制动器释放工具是否已拆除。
电梯安装与维修保养专业
电梯运行与安全管理技术
电梯安装与维修保养专业
电梯运行与安全管理技术
项目4 自动扶梯
学习任务4.2 自动扶梯的安 全使用与日常管理
电梯安装与维修保养专业
电梯运行与安全管理技术
学习任务4.2 自动扶梯的安全使用与日常管理
【学习目标】
1.熟悉自动扶梯的安全操作规程;了解自 动扶梯的安全使用知识。
电梯安装与维修保养专业 电梯运行与安全管理技术
知识准备
学习任务4.2 自动扶梯的安全使用与日常管理
一、自动扶梯的安全操作规程 19.自动运行、检修运行的操作规程 ⑵作业负责人在启动自动扶梯前应确认作业人员及第三者 的安全状况。 ⑶启动时应先确认周围的安全情况,响蜂鸣器,切实执行 联络和大声复述规则之后,才能启动运行。 ⑷操作者应密切注意周围的安全情况,应保持随时可以紧 急停止自动扶梯运行的姿势。 ⑸有人在桁架内作业时,禁止检修运行及自动运行。 ⑹自动扶梯有开口部位(机房未盖盖板或有空梯级)的情 况下严禁自动运行。

安全考试题库带答案

安全考试题库带答案1、振捣器作业时应使用移动配电箱、电缆线长度不应超过30m。

2、在靠近外窗附近操作时,应戴好安全帽系好安全带。

3、施工升降机操作按钮中,急停按钮必须采用非自动复位型。

4、施工企业管理人员违章指挥、强令职工冒险作业,因而发生伤亡事故或造成其他严重后果的应依法追究刑事责任。

5、脚手架内立杆与建筑物间距大于15cm就必须进行有效防护。

6、脚手架的操作面必须满铺脚手架,离墙面不得大于20cm。

7、建筑材料、构件、料具应按总平面布局进行码放。

8、各工种的安全技术交底一般与分部分项安全技术交底同步进行交接底双方应当签字确认交底具体、明确、针对性强。

9、分包单位应当服从总承包单位的安全生产管理,分包单位不服从管理导致生产安全事故的,分包单位承担主要责任。

10、从业人员应当接受安全生产教育和培训,掌握本职工作所需的安全生产知识,提高安全生产技能。

11、氧气瓶与乙炔瓶的距离不得小于5m。

气瓶与明火作业点的距离不应小于10m。

12、严禁攀附脚手架、井架和乘做吊笼或吊斗上下。

13、施工现场配电系统不得同时采用两种保护系统。

14、施工现场临时用电设置的依据是施工临时用电组织设计。

15、起重机械应按规定安装荷载限制器及行程限位装置。

16、根据《建设工程安全生产管理条例》规定,出租机械设备和施工机具及配件,应具有生产(制造)许可证产品合格证。

17、分包单位应按规定建立安全机构,配备专职安全员。

18、电气设备保护零线与工作零线分开设置的系统即称为TN-S系统。

19、安全检查的主要形式包括定期安全检查、经常性安全检查、专项(业)安全检查季节性、节假日前后安全检查。

20、安全检查的目的是为了及时纠正和发现人的不安全行为,物的不安全因素。

21、特殊场所和手持照明灯应采用安全电压供电。

22、施工人员进入现场必须戴安全帽、佩带工作牌、禁止穿皮鞋、凉鞋。

23、建筑施工举行高处作业之前,应举行安全防护办法的逐项搜检和验收。

电梯基础知识教程

电梯基础知识教程目录一、内容概括 (2)1. 电梯的历史与演变 (2)2. 现代电梯系统概述 (3)3. 电梯在建筑与城市中的作用与重要性 (5)二、电梯结构与组件 (6)1. 电梯井道与机架 (7)2. 电梯轿厢 (8)3. 曳引系统与对重 (10)4. 控制系统与电子元件 (11)5. 安全设施与应急设备 (13)三、电梯工作原理 (13)1. 电力驱动原理 (15)2. 曳引提升原理 (17)3. 门控及锁止机制 (17)4. 电梯控制逻辑与信号传递 (18)四、电梯维护与保养 (20)1. 日常检查与维护流程 (21)2. 常见故障诊断与处理 (22)3. 定期检查与维修项目 (22)4. 安全检查与合规性要求 (23)五、电梯安全与管理 (24)1. 安全法规与标准 (25)2. 安全监控与报警系统 (26)3. 电梯运营管理与监控 (28)4. 人员操作与培训要求 (29)六、现代电梯技术趋势 (29)1. 智能电梯与应用案例 (31)2. 环保技术的应用 (33)3. 新技术材料与设计的创新 (34)4. 自动扶梯与自动人行道概述 (35)七、电梯在未来建筑中的展望 (37)1. 绿色建筑与能效标准 (38)2. 新型交通工具对接与融合趋势 (40)3. 人口老龄化与新型服务电梯需求 (41)4. 物联网与未来电梯的前景 (43)一、内容概括本教程旨在为电梯的基础知识提供全面而详尽的介绍,帮助用户了解并掌握电梯的基本原理、构造、性能参数以及安全使用方法。

从电梯的定义、发展历程到各类电梯的工作原理、性能特点,再到日常维护保养及故障排除,本教程将为您呈现一个清晰、完整的电梯知识体系。

通过学习本教程,您将能够熟练使用和操作电梯,确保乘坐安全,并延长电梯的使用寿命。

本教程也旨在提高用户对电梯安全意识的重视,共同营造和谐的生活和工作环境。

1. 电梯的历史与演变电梯概念的萌芽可以追溯到古埃及和巴比伦的滑翔梯和升降平台,这些装置用于搬运建筑材料或货物。

(2024年)日立YPVF电梯操作模式说明

口。
2024/3/26
重量平衡系统
由对重和重量补偿装置 构成,用于平衡轿厢重 量,保持电梯运行的稳
定性。
电力拖动系统
包括电动机、减速机构、 制动器等,用于提供电 梯运行所需的动力和制
动力。
5
电气控制系统
由控制柜、操纵盘、呼 梯盒等组成,用于控制 电梯的运行状态和实现
各种功能。
工作原理及运行过程
2024/3/26
2024/3/26
15
04
正常运行操作模式详解
2024/3/26
16
呼梯、选层及方向指示
01 02
呼梯
乘客在电梯厅外按下呼梯按钮,电梯控制系统根据当前电梯位置和运行 方向,决定是否响应呼梯信号。若电梯空闲或运行方向与呼梯方向相同, 则电梯响应呼梯信号并前往指定楼层。
选层
乘客进入电梯轿厢后,按下目的层选层按钮,电梯控制系统记录选层信 号,并根据当前电梯位置和运行方向,规划最优运行路径。
2024/3/26
电梯控制系统
检查控制柜内各元器件的连接情况,确保控 制系统稳定可靠。
电梯安全保护系统
检查限速器、安全钳、缓冲器等安全保护装 置的完好性,确保电梯运行安全。
25
常见故障现象及原因分析
电梯无法启动
可能是电源故障、控制系统故障 或电机故障等原因导致,需要逐 一排查并修复。
电梯运行不平稳
曳引系统
轿厢
包括曳引机、曳引钢丝绳和曳引轮, 用于提供电梯运行的动力。
用于运载乘客或货物的部分,包括轿 厢架和轿厢体。
导向系统
由导轨、导靴和导轨架组成,用于限 制轿厢和对重的活动自由度,使轿厢 和对重只能沿着导轨作升降运动。
2024/3/26

廖朋、中山市卓越电梯安装工程有限公司等侵权责任纠纷民事二审民事判决书

廖朋、中山市卓越电梯安装工程有限公司等侵权责任纠纷民事二审民事判决书【案由】民事侵权责任纠纷其他侵权责任纠纷【审理法院】广东省中山市中级人民法院【审理法院】广东省中山市中级人民法院【审结日期】2021.08.20【案件字号】(2021)粤20民终1535号【审理程序】二审【审理法官】杨雪燕卢俊廷刘通【文书类型】判决书【当事人】廖朋;中山市卓越电梯安装工程有限公司;林加宝(LAM);林加宝(LAM)【当事人】廖朋中山市卓越电梯安装工程有限公司林加宝(LAM)林加宝(LAM)【当事人-个人】廖朋【当事人-公司】中山市卓越电梯安装工程有限公司林加宝(LAM)林加宝(LAM)【代理律师/律所】曾茜茜广东凯行律师事务所;陈泳瑶广东凯行律师事务所【代理律师/律所】曾茜茜广东凯行律师事务所陈泳瑶广东凯行律师事务所【代理律师】曾茜茜陈泳瑶【代理律所】广东凯行律师事务所【法院级别】中级人民法院【终审结果】二审改判【原告】廖朋;中山市卓越电梯安装工程有限公司【被告】林加宝(LAM);林加宝(LAM)【本院观点】本案为涉港侵权责任纠纷。

【权责关键词】撤销代理合同过错侵权行为地当事人的陈述书证证人证言鉴定意见直接证据反证自认新证据重新鉴定合法性诉讼请求变更诉讼请求【指导案例标记】0【指导案例排序】0【本院查明】本案的争议焦点为:一、一审法院认定的误工天数是否正确;二、一审法院认定的残疾赔偿金以及被扶养人(扶养人)生活费是否正确;三、一审法院判决的责任分担比例是否正确。

【本院认为】本院认为,本案为涉港侵权责任纠纷。

一审法院依照相关法律规定适用内地法律作为本案的准据法进行裁决正确,本院予以维持。

本案的争议焦点为:一、一审法院认定的误工天数是否正确;二、一审法院认定的残疾赔偿金以及被扶养人(扶养人)生活费是否正确;三、一审法院判决的责任分担比例是否正确。

关于焦点一。

一审法院是基于林加宝和卓越电梯公司未对廖朋自行委托评定的护理期提出异议才认定护理期为90天的,并非认定廖朋在事故发生后90天内都需要别人护理的事实,所以,一审法院根据廖朋申请出庭的证人贺某的证言认定廖朋的误工天数并无不当,本院予以维持。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。

5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。

6、 电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼信号,由下至上依次执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求时,则直接升到有下降请求的最高楼接客,然后进入下降模式,但电梯处于下降模式时,则与上升模式相反。

7、 电梯初始状态为一层门开。

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

entity led1 is port(ledin:in std_logic_vector(3 downto 0); ledout:out std_logic_vector(6 downto 0)); end led1;

architecture a_led of led1 is begin process(ledin) begin case ledin is --The sequence is "g f e d c b a" when "0000" => ledout<="0111111"; -- " show 0 " when "0001" => ledout<="0000110"; -- " show 1 " when "0010" => ledout<="1011011"; -- " show 2 " when "0011" => ledout<="1001111"; -- " show 3 " when "0100" => ledout<="1100110"; -- " show 4 " when "0101" => ledout<="1101101"; -- " show 5 " when "0110" => ledout<="1111101"; -- " show 6 " when "0111" => ledout<="0000111"; -- " show 7 " when "1000" => ledout<="1111111"; -- " show 8 " when "1001" => ledout<="1101111"; -- " show 9 " when "1010" => ledout<="1110111"; -- " show 10 " when "1011" => ledout<="1111100"; -- " show 11 " when "1100" => ledout<="0111001"; -- " show 12 " when "1101" => ledout<="1011110"; -- " show 13 " when "1110" => ledout<="1111001"; -- " show 14 " when "1111" => ledout<="1110001"; -- " show 15 " when others => ledout<="XXXXXXX"; --必须有,Here it is 'X',single quote end case; end process ; end a_led; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all;

entity lift1 is port ( clk: in STD_LOGIC; --2hz信号 upin: in STD_LOGIC; --上升请求键 downin: in STD_LOGIC; --下降请求键 st_ch: in STD_LOGIC; --楼层选择键 close: in STD_LOGIC; --提前关门键 delay: in STD_LOGIC; --延时关门键 run_stop: in STD_LOGIC; --电梯运行开关 lamp: out STD_LOGIC; --运行或停止灯 run_waitdis: out STD_LOGIC_VECTOR (6 downto 0); --运行或等待时间 st_outdis: out STD_LOGIC_VECTOR (6 downto 0); --电梯所在楼层指示 directdis: out STD_LOGIC_VECTOR (6 downto 0) --楼层选择指示 ); end lift1;

architecture lift1_arch of lift1 is component led1 port(ledin:in std_logic_vector(3 downto 0); ledout:out std_logic_vector(6 downto 0)); end component;

signal ur,dr:STD_LOGIC_VECTOR (6 downto 1); signal dir,liftor:integer range 0 to 5; signal wai_t:STD_LOGIC_VECTOR (2 downto 0); signal divide,hand,clkin:STD_LOGIC; signal ladd:STD_LOGIC_VECTOR (1 downto 0); signal closex,delayx:STD_LOGIC; signal run_wait: STD_LOGIC_VECTOR (3 downto 0); signal st_out: STD_LOGIC_VECTOR (3 downto 0); signal direct: STD_LOGIC_VECTOR (3 downto 0);

begin direct<='0'&conv_std_logic_vector(dir,3)+1; st_out<='0'&conv_std_logic_vector(liftor,3)+1; run_wait<='0'&wai_t; lamp<=ladd(1); hand<=wai_t(2) and (not wai_t(1)) and wai_t(0); closex<=close and (not ladd(1)); delayx<=delay and (not ladd(1));

urun_wait:led1 port map(run_wait,run_waitdis); ust_out:led1 port map(st_out,st_outdis); udirect:led1 port map(direct,directdis);

p0:process(clk) begin if (clk'event and clk='1') then clkin<=not clkin; end if; end process p0;

p1:process(clkin) begin if (clkin'event and clkin='1') then divide<=not divide; if (dir=5) then dir<=0; else dir<=dir+1; end if; end if; end process p1;

p2:process(ur,dr,dir,upin,downin,st_ch,liftor,wai_t,run_stop,hand) variable num,t:integer range 0 to 6; begin num:=liftor+1; t:=dir+1; if (run_stop='1') then if (((t>num) and (st_ch='1')) or (upin='1')) then case t is when 1 => ur(1)<='1'; when 2 => ur(2)<='1'; when 3 => ur(3)<='1'; when 4 => ur(4)<='1'; when 5 => ur(5)<='1'; when 6 => ur(6)<='1'; when others =>Null; end case; elsif (hand='1') then case num is when 1 => ur(1)<='0'; when 2 => ur(2)<='0'; when 3 => ur(3)<='0'; when 4 => ur(4)<='0'; when 5 => ur(5)<='0'; when 6 => ur(6)<='0'; when others =>Null; end case; end if; if (((tcase t is when 1 => dr(1)<='1'; when 2 => dr(2)<='1'; when 3 => dr(3)<='1'; when 4 => dr(4)<='1'; when 5 => dr(5)<='1'; when 6 => dr(6)<='1'; when others =>Null; end case; elsif (hand='1') then case num is when 1 => dr(1)<='0'; when 2 => dr(2)<='0'; when 3 => dr(3)<='0'; when 4 => dr(4)<='0'; when 5 => dr(5)<='0'; when 6 => dr(6)<='0'; when others =>Null; end case; end if; else ur<="000000"; dr<="000000"; end if; end process p2;

相关文档
最新文档