多功能电子时钟系统设计
数电设计数字钟基于QUARTUS完整版

数电设计数字钟基于Q U A R T U SHEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】大连理工大学本科实验报告题目:数电课设——多功能数字钟课程名称:数字电路课程设计学院(系):电信学部专业:电子与通信工程班级:学生姓名: ***************学号:***************完成日期:成绩:2010 年 12 月 17 日题目:多功能数字时钟一.设计要求1)具有‘时’、‘分’、‘秒’的十进制数字显示(小时从00~23)2)具有手动校时校分功能3)具有整点报时功能,从59分50秒起,每隔2秒钟提示一次4)具有秒表显示、计时功能(精确至百分之一秒),可一键清零5)具有手动定时,及闹钟功能,LED灯持续提醒一分钟6)具有倒计时功能,可手动设定倒计时范围,倒计时停止时有灯光提示,可一键清零二.设计分析及系统方案设计1. 数字钟的基本功能部分,包括时、分、秒的显示,手动调时,以及整点报时部分。
基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。
利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。
将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。
进入手动调时功能时,通过按键改变控制计数器的时钟周期,使用的时钟脉冲进行调时计数(KEY1调秒,LOAD2调分,LOAD3调时),并通过译码器由七位数码从59分50秒开始,数字钟进入整点报时功能。
每隔两秒提示一次。
(本设计中以两个LED灯代替蜂鸣器,进行报时)2. 多功能数字钟的秒表功能部分,计时范围从00分秒至59分秒。
可由输入信号(RST1)异步清零,并由按键(EN1)控制计时开始与停止。
将DE2硬件中的50MHZ晶振经过分频获得周期为秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示。
电子行业电子钟设计报告

电子行业电子钟设计报告1. 引言在现代社会中,电子钟作为一种时间显示设备,被广泛应用于各个领域,例如办公室、学校、铁路站等。
本文将介绍电子行业电子钟的设计过程、功能要求以及原理。
2. 设计过程电子行业电子钟的设计过程可以分为如下几个步骤:2.1 确定需求首先,我们需要明确电子行业电子钟的功能需求。
根据电子行业的特点,我们需要考虑以下功能: - 精确的时间显示 - 大字体显示 - 高亮度显示- 易于操作和设置 - 耐用性和稳定性2.2 选取合适的硬件平台根据功能需求,我们需要选择合适的硬件平台来搭建电子钟。
一般来说,我们可以选择微控制器作为主控芯片,并结合数字显示器和时钟模块来实现计时和显示功能。
2.3 硬件电路设计在确定硬件平台后,我们需要设计电子钟的硬件电路。
电子时钟的主要电路包括时钟模块和显示模块。
时钟模块可以使用石英晶体振荡器来提供精确的时钟信号,而显示模块可以选择使用数码管或LCD来显示时间。
2.4 软件程序设计设计完硬件电路后,我们需要编写软件程序来控制硬件并实现各项功能。
软件程序需要包括时钟控制、显示控制、设置功能等。
2.5 调试和优化完成软件编程后,我们需要对系统进行调试和优化。
调试过程中,我们需要确保各项功能正常运作,并对性能进行测试和优化。
3. 功能要求根据电子行业的特点和用户需求,我们对电子行业电子钟的功能要求如下:3.1 精确的时间显示电子钟需要能够准确显示当前的时间,通过与标准时间源的同步,保证时间的准确性。
3.2 大字体显示电子钟需要采用大字体显示,以便用户能够清晰地看到时间。
3.3 高亮度显示电子钟需要具有高亮度的显示效果,以适应不同光照条件下的使用需求。
3.4 易于操作和设置电子钟需要配备简洁明了的操作界面,以方便用户进行时间设置和功能选择。
3.5 耐用性和稳定性电子钟需要具备较强的耐用性和稳定性,能够长时间稳定运行并抵抗外界干扰。
4. 原理电子行业电子钟的原理基于以下几个方面:4.1 时钟模块电子钟的时钟模块一般采用石英晶体振荡器作为时钟源。
无线遥控多功能电子时钟

无线遥控多功能电子时钟小组队员:陈榕(201124124118) 肖佳松(201124124125) 关小婷(201124124111)(班级:通信一班)指导老师:元泽怀摘 要:本系统采用无线电系统控制遥控技术,可以远程对电子时钟进行对年份时间,温度的调整,通过单片机最小控制系统,温度传感器,无线电技术等构成电路。
由于该系统采用了遥控技术,该系统具有方便等优点。
1.方案论证与比较方案一:直接采用开关按键模式。
开关在电路板上直接控制时间,温度并进行调整。
单片机ATMEGA16芯片是整个系统的核心,通过输入程序指令进行在LCD 上进行年月日,时分秒和定时。
温度通过温度传感器来进行温度测量进而在LCD 上显示。
单片机ATMA16LCD1602显示按键开关接地18B20温度传感器LED 灯、蜂鸣器单片机复位图一:方案一系统设计框图方案二:通过无线遥控技术,采用远程遥控技术操控按键对电子钟进行调整 与控制。
而其它功能则与上述方案一功能不变。
综上所述,方案二能更方便地操作系统,所以选择方案二。
2.系统设计与理论分析2.1显示模块显示模块采用16×2点阵字符液晶显示器,它是由点阵字符液晶显示器件和专用的行、列驱动器、控制器及必要的连接件、结构件装配而成,可以显示数字和英文字符。
内含振荡电路,系统内含重置电路;提供各种控制命令,如清除显示器、字符闪烁、光标闪烁、显示移位等多种功能。
单片机复位 ATMAGE16单片机系统LED 灯、蜂鸣器 LCD1602显示温度传感器无线电遥控2.2温度感应模块DS18B20温度传感器是美国DALLAS半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。
DS18B20的性能特点如下:●独特的单线接口仅需要一个端口引脚进行通信;●多个DS18B20可以并联在惟一的三线上,实现多点组网功能;●无须外部器件;●可通过数据线供电,电压范围为3.0~5.5V;●零待机功耗;●温度以9或12位数字;●用户可定义报警设置;●报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件;●负电压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作;2.3控制模块无线电控制(采用315无线模块做按键控制)超再生接收模块采用LC振荡电路,内含放大整形,输出的数据信号为TTL 电平,可直接至解码器。
多功能数字钟

电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时LED灯花样显示。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
多功能智能电子钟的设计

文章编号:1006-1576(2005)04-0082-03多功能智能电子钟的设计何宏森(西南科技大学信息工程学院,四川绵阳 621010)摘要:多功能智能电子钟以AT89C2051芯片为核心,采用静态与动态相结合的扫描方式显示。
系统软件包括主程序和中断模块,基本时间、总天数、星期、公历、阴历等日历算法模块。
其显示模块采用单片机串行输出,以分时动态扫描方式点亮21块LED数码管和4个发光二极管。
关键词:电子钟;单片机;日历算法;动态显示中图分类号:TP216.2 文献标识码:ADesign of Intellectual Electronic-Clock of MultifunctionHE Hong-sen(College of Information Engineering, Southwest University of Science & Technology, Mianyang 621010, China)Abstract: The chip of AT89C2051 is based on as the core of intellectual electronic-clock, and the scanning mode is applied to display by combining dynamic scan with static scan. The system software includes: main program, interrupt program and the programmed algorithm about calendar of basic time, total days, week, the Gregorian calendar and the lunar calendar. The displaying module is designed with serial output of one chip computer, 21 pieces of LED nixie light and 4 pieces of LBDs were lighted up by the way of dynamic time-sharing scan.Keywords: Electronic-clock; Chip computer; Calendar algorithm; Dynamic display1 引言万年历阴历算法以往都使用数据表。
多功能数字电子钟_VHDL

四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then
基于52单片机电子时钟的设计论文(纯汇编语言编写)

编号单片机课程设计(2013 级)题目:基于52单片机电子时钟的设计学院:物理与机电工程学院专业:电子信息科学与技术作者姓名:陈✘✘党✘✘杜✘✘指导教师:张✘✘职称:教授完成日期:2016 年7 月 2 日二〇一六年七月基于52单片机电子时钟的设计摘要本次设计的多功能时钟系统采用STC89C52单片机为核心器件,利用其定时器/计数器定时和记数的原理,结合液晶显示电路、时钟芯片DS1302电路、电源电路以及按键电路来设计计时器。
将软硬件有机地结合起来,使得系统能够实现液晶显示,显示有年、月、日、时、分、秒以及星期,还可以设置闹钟和整点报时。
其中软件系统采用单片机汇编语言编写程序,包括显示程序、闹钟程序、中断、延时程序,按键消抖程序等,并在keil中调试运行,硬件系统利用PROTEUS 强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。
关键词:STC89C52芯片;时钟芯片DS1302;单片机汇编语言;液晶显示电路1 设计任务及要求分析1.1 设计任务:基于单片机的电子时钟设计1.2 要求:1.2.1 用LCD液晶作为显示设备1.2.2 可以分别设定小时、分钟和秒,复位后时间为 00 00 001.2.3 能实现日期的设置年、月、日1.3 扩展要求:如闹钟功能、显示星期、整点音乐报时等2 系统方案2.1 系统整体方案的论证电路原理设计是基于小系统板包括电源电路、复位电路、按键电路、DS1302时钟电路、液晶显示驱动电路、输出控制电路。
电源部分是用电池来提供的3v-5v,晶体振荡器采用的是12MHz的石英晶体振荡器。
整个系统用单片机为中央控制器,由单片机执行采集时钟芯片的时间信号并通过显示模块来输出信号及相关的控制功能。
时钟芯片产生时钟信号,利用单片机的I/O口传给单片机;并通过I/O口实现LCD的显示。
系统设有4个独立式按键可以对时间年、月、日和星期进行调整,还可以设置闹钟。
具体如图2.1所示:图2.1 系统整体框图3硬件设计与实现3.1单片机最小系统STC89C52是一款非常适合单片机初学者学习的单片机,它完全兼容传统的8051,8031的指令系统,他的运行速度要比8051快最高支持达33MHz的晶体震荡器,在此系统中使用12MHz的晶振。
单片机电子时钟课程设计实验报告(1)

单片机电子时钟课程设计实验报告(1)单片机电子时钟课程设计实验报告一、实验内容本次实验的主要内容是使用单片机设计一个电子时钟,通过编程控制单片机,实现时钟的显示、报时、闹钟等功能。
二、实验步骤1.硬件设计根据实验要求,搭建电子时钟的硬件电路,包括单片机、时钟模块、显示模块、按键模块等。
2.软件设计通过C语言编写单片机程序,用于实现时钟功能。
3.程序实现(1)时钟显示功能通过读取时钟模块的时间信息,在显示模块上显示当前时间。
(2)报时功能设置定时器,在每个整点时,通过发出对应的蜂鸣声,提示时间到达整点。
(3)闹钟功能设置闹钟时间和闹铃时间,在闹钟时间到达时,发出提示蜂鸣,并在屏幕上显示“闹钟时间到了”。
(4)时间设置功能通过按键模块实现时间的设置,包括设置小时数、分钟数、秒数等。
(5)年月日设置功能通过按键模块实现年月日的设置,包括设置年份、月份、日期等。
三、实验结果经过调试,电子时钟的各项功能都能够正常实现。
在运行过程中,时钟能够准确、稳定地显示当前时间,并在整点时提示时间到达整点。
在设定的闹铃时间到达时,能够发出提示蜂鸣,并在屏幕上显示“闹钟时间到了”。
同时,在需要设置时间和年月日信息时,也能够通过按键进行相应的设置操作。
四、实验感悟通过本次实验,我深刻体会到了单片机在电子设备中的广泛应用以及C 语言在程序设计中的重要性。
通过实验,我不仅掌握了单片机的硬件设计与编程技术,还学会了在设计电子设备时,应重视系统的稳定性与可靠性,并善于寻找调试过程中的问题并解决。
在今后的学习和工作中,我将继续加强对单片机及其应用的学习与掌握,努力提升自己的实践能力,为未来的科研与工作做好充分准备。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
多功能电子时钟系统设计多功能电子时钟系统设计
随着科技的不断进步,人们的生活和工作已经离不开电子设备了。
电子时钟作为人们日常生活和工作中必需的设备之一,已成为人们生活和工作中不可或缺的重要部分。
传统的电子时钟只能显示时间,功能单一且使用不够灵活。
为了满足人们更多样化的需求,需要设计一种具有更多功能的电子时钟系统。
本文将阐述多功能电子时钟系统的设计。
1.系统架构
多功能电子时钟系统由三个主要部分构成:时钟芯片、处理器和显示器。
其中时钟芯片负责实现时钟计数器和定时、定点中断,处理器负责控制时钟和实现其他功能,显示器负责显示当前时间和其他数据。
2.时钟芯片
时钟芯片是电子时钟系统的核心部件,负责精准计时和实现各种计时和定时功能。
选择时钟芯片时需要考虑精度、功耗、计数范围、中断支持和易用性等因素。
常用的时钟芯片有
DS1302、DS1307、DS3231等。
3.处理器
处理器是多功能电子时钟系统的控制中心,负责处理用户的输入和输出,控制时钟运行以及实现其他功能。
在选择处理
器时需要考虑性能、速度和成本。
常用的处理器有AVR、PIC、ARM等。
4.显示器
显示器是多功能电子时钟系统的输出部分,负责显示时间和其他信息。
在选择显示器时需要考虑显示效果、大小、亮度和功耗等因素。
常用的显示器有LCD、OLED、LED等。
5.主要功能
多功能电子时钟系统应具备以下功能:
(1)显示时间和日期;
(2)闹钟,可设定多组闹钟,可选择不同的铃声和振动
方式;
(3)计时功能,可设定多组计时,可记录统计时间、圈
数和平均速度等;
(4)定时功能,可设定多组定时,可用于计时器的设定
和其他功能的定时触发;
(5)温度监测,可监测环境温度并显示;
(6)数据备份,可备份时间、闹钟、计时和定时设置,
以免因电源中断或其他原因导致丢失数据。
6.操作方式
多功能电子时钟系统应提供简单易操作的用户界面,包括按键、旋钮或触摸屏等多种操作方式。
用户应能够快速便捷地
进行设置和操作,以实现多种功能。
同时,可能的话,可以通过蓝牙或WIFI等外部模块实现远程控制。
7.总体流程
多功能电子时钟系统运行流程如下:
(1)时钟芯片计数,更新当前时间;
(2)处理器对时间进行处理,根据设定的闹钟、计时和
定时等设置触发相应操作;
(3)处理器将处理后的信息传送至显示器,在屏幕上显示。
8.结论
多功能电子时钟系统是一种功能强大、易操作、显示精准、功能齐全的智能设备。
该系统采用合理的架构和设计,可以实现时间显示、闹钟、计时、定时、温度监测和数据备份等多种功能,方便人们的生活和工作。
随着科技的不断进步,人们对智能电子设备的需求不断增加,多功能电子时钟系统的设计,有着广泛的应用前景。