Removed_数字电路数字钟实训报告

Removed_数字电路数字钟实训报告
Removed_数字电路数字钟实训报告

目录

一、了解数字钟原理图2

1焊接的原件2

2.原理图解析3

3数码管引脚的确定3

4.芯片的原理分析3

4.1 CD45113

4.2 CD45185

4.3 CD40609

5.实验仪器的准备14

6.秒的焊接14

二、数字钟的焊接15

1.分的焊接15

2.分析秒不能进位的问题15

3.秒没有正常显示的进一步分析16

4.分的焊接16

三、焊接16

1.时的焊接16

2.处理74系列的与非门16

3.调时调分电路的焊接17结尾自我感受17

一、了解数字钟原理图

1焊接的原件

数码管、芯片4511、4518、74系列的与非门、导线、焊锡丝、电烙铁、吸锡器、万用表、芯片的底座、剥线钳、钳子等。

2.原理图解析

3数码管引脚的确定

本次使用的数码管是共阴,用万用表来依次确定数码管的引脚,

方法为用万用表的蜂鸣档,将黑色的表笔放在接地的一极,然后

用红色的表笔确定数码管的引脚a、b、c、d、e、f、g

当红表笔的一段使a端发光,则可以确定此引脚为a,其他的也是同样的原理。

4.芯片的原理分析

4.1 CD4511

BCD七段显示器译码器/译码器

IC CD4511是一组用来作为BCD对共阴极LED七段显示器译码的包装。其引脚图,图1与真值表图2所示,其各引脚功能如下:

LT:做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出

abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。当LT=1,则正常解码。

BI:空白输入控制,当BI=0 (LT 为1 时) 则不论DCBA 之输入为何,其输出皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数据译码,避免在无意义的数据输入时显示出来造成字型的系乱。

LE:数据栓锁致能控制;在CD4511 中,不但具译码功能,更具有数据栓锁的记忆功能。当LE=0 时(LT=1 且BI=1),DCBA 数据会被送入IC 的缓存器中保存,以供译码器码;当LE=1 时,则IC 中的暂存器会关闭,仅保存原来在LE=0 时的DCBA数据供译码器译码。换句话说当LE=1 时,不论DCBA 的输入数据为何,皆不影响其输出,其输出abcdefg 仍保留原来在LE 由0转为1以前的资料。

图1 IC CD45111 引脚功能图

4.2 CD4518

4.3 CD4060

5.实验仪器的准备

本实验所需要的实验仪器:

5V的单电源、基尔发生器、万用表、

仪器用途:

单电源:提供电路电源。

基尔发生器:提供时钟信号,信号为方波,幅值为5V 万用表:用来检测电路,数码管引脚的确定。

6.秒的焊接

在都准备好后,我们将焊接过程分为四个部分,

分别是秒的电路的焊接,

分的电路的焊接,

时的电路的焊接,

调时调分的按键的焊接。

调试,差错。

二、数字钟的焊接

1.分的焊接

在进行了秒的焊接之后,

我们采用基尔发生器来给电路

提供电路的信号源,

信号源的值:

频率为5Hz,幅值为5V,

采用函数输出端,

进行信号的输出。

2.分析秒不能进位的问题

在进行秒的测试的时候,

我们发现个位到9的时候,

十位没有进位,

我们仔细的检查了电路,

发现电路中芯片中的

4518号芯片中的6号引脚

和10号引脚没有连接。

3.秒没有正常显示的进一步分析

当我们分析了不能进位的原因之后,

我们发现秒的部分还是没有显示出来,

这是因为4518中的15号引脚

在没有连接“分”的电路时,

应该与地连接。

4.分的焊接

在显示了秒的点路之后,

我们进行了分的焊接。

三、焊接

1.时的焊接

时的焊接与分的焊接与秒的焊接是大部分是

相同的。

2.处理74系列的与非门

我们将分和秒部分电路用一个74与非

门进行控制,所以我们在其中的一个与非门中用了两个与非门的电路。

3.调时调分电路的焊接

在一切都完毕成功之后

我们进行了对电路进行调整的电路

分别是调时电路,与调分电路。

调时调分的方式

当按住调分调时的时候

分和秒的部分会自动的向上增加。

结尾自我感受

小时候,我就开始接触了数字时钟,

但当我真正地去实际的去制作数字中的时候,

我感觉非常的兴奋,虽然在制作的过程中,我们遇到了许许多多的问题,但是当我们全力以赴去检查出电路的问题的时候,

我感觉非常的开心

因为找到了问题的所在,

当数字钟红色的灯光开始一下一下的闪烁的时候,

我的内心是无比的激动。

在以后的电路设计中,

我吸取了这次在焊接方面,和对原理图理解方面的教训,

在今后的电路焊接中,

我觉得我应该更加更加的认真,

那样就不会出现如此多的问题,以及如此繁琐的检查。

要懂得电路图的原理,各部分的电路都能找到其相应的位置。

这样我觉的我们能做的更好!!!

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

自动化专业实习心得体会

自动化专业实习心得体会 导读:本文自动化专业实习心得体会,仅供参考,如果能帮助到您,欢迎点评和分享。 这次我们自动化专业实习的地点是XX有限公司,实习的目的是增长我们的才干和对我们自身进行毕业前的一次很好锻炼。在本次的实习过程中,学校特意组织了本专业的几位老师跟踪指导和安排指挥,他们的随行无形中给我们提供了一些力量和对这次实习所面临的一些问题所须解决的渠道。本专业实习的人数一共有八十几位同学,于四月九号和十号陆续到达。 首先是在于本次的实习,东风发动机有限公司给我们安排上课的工程师,他们理论联系实际的讲解,以及用具体的实例给我们上了几次生动而又具体实在的课程,比如有关“数控改造”的介绍,如下:在这一堂课中,是我们实习的第一堂课,同时也是我听得最好的一堂课。哪个姓赵的工程师,给我们讲解了有关数控改造的发展趋势、数控机械改造的优势、数控改造的市场、数控系统的选择、数控改造的步骤等等,以及用了一个有关数控改造的具体实列给我们讲解有关数控改造。在没有听到这些介绍之前,以自己认为来看,数控改造就是对机械的其中一部分进行改造,但当听到这些介绍后,使自己对于数控改造有了一个全新的认识,就是它不仅仅是对其中的一部分进行改造,同时需要考虑这些改造对机械本身的运行、功能以及它的发展等等,都需要全面的考虑。

其次是在听有关工厂供电的介绍,电对于每个人来说都是再熟悉不过了,可是真正懂得它和利用它的人却不是很多,这对于我个人而言是深有体会,那是在以前在家里的时候,时不时的看见有的电线着火或是用电器被烧坏,甚至还亲自被电触过。在这次听有关姓张的工程师的讲解,感触很深。如他介绍的有关电力网的知识,这对于我们以后走进工作岗位或是在家里安装电线的时候能有一个很好指导,这样可以避免很多不必要的损坏和减少许多危险的隐患。还有就是关于电压的等级以及指标等,这些都对供电有很大的影响。更重要的是介绍有关电在实际中的应用,如电力网的电力选择、高压电力的网的接线图、电压的调整的目的和方法等等,这些都是实际中应该存在和应该了解的。 第三是这次的实习让我见识不少,其中给我影响最深的是这里的工厂建设和每个车间里面的配置,尤其是各个生产流水线上的庞大机器,这些是我在经历了华中科大金工实习后的又一次接触到的,而且这里的各种各样的机器更大,自动化集程度更高,如这里的磨床和以前我所见过的磨床相比,那可简直是不可同日而语啊,它不光大了很多,更重要的可以自动根据物品的到来进行翻转和加工,然后加工结束后,又自动的将他们送走,还有就是铣床,这里的铣床是在我们以前见过的那些铣床的基础上进行改装过的,而且全部由电脑进行控制,如当需要加工的物品到来时,该铣床会自动将它送到加工部位,然后根据该物品的需要加工的程度自动的进行配料,然后检测,直到达到标准的时候才将他们送出。几乎在每个车间都是这样的,像生产曲轴

基于FPGA的数字钟设计

摘要 伴随着集成电路技术的发展, 电子设计自动化(EDA)技术逐渐成为数字电路设计的重要手段。基于FPGA的EDA技术的发展和应用领域的扩大与深入,使得EDA技术在电子信息,通信,自动控制,计算机等领域的重要性日益突出。 本设计给出了一种基于FPGA的多功能数字钟方法,采用EDA作为开发工具,VHDL语言和图形输入为硬件描述语言,QuartusII作为运行程序的平台,编写的程序经过调试运行,波形仿真验证,下载到EDA实验箱的FPGA芯片,实现了设计目标。 系统主芯片采用CycloneII系列EP2C35F672C8。采用自顶向下的设计思想,将系统分为五个模块:分频模块、计时模块、报时模块、显示模块、顶层模块。用VHDL语言实现各个功能模块, 图形输入法生成顶层模块. 最后用QuartusII 软件进行功能仿真, 验证数字钟设计的正确性。 测试结果表明本设计实现了一个多功能的数字钟功能,具有时、分、秒计时显示功能,以24小时循环计时;具有校正小时和分钟的功能;以及清零,整点报时功能。 关键词:EDA技术;FPGA;数字钟;VHDL语言;自顶向下

Abstract Accompanied by the development of integrated circuit technology, electro nic design automation (EDA) technology is becoming an important means of digital circuit design. FPGA EDA technology development and expansion of a pplication fields and in-depth, the importance of EDA technology in the field of electronic information, communication, automatic control, computer, etc. hav e become increasingly prominent. This design gives a FPGA-based multifunctional digital clock using ED A as a development tool, VHDL language and graphical input hardware descri ption language, the QuartusII as a platform for running the program, written procedures debugging and running, the waveform simulation downloaded to th e FPGA chip to achieve the design goals. The main system chip CycloneII series EP2C35F672C8. Adopted a topdw n design ideas, the system is divided into five modules: frequency module, ti ming module, timer module, display module, the top-level module. With VHD L various functional modules, graphical input method to generate the top-level module. Last QuartusII under simulation, to verify the correctness of the digi tal clock design. The test results show that the design of a multifunctional digital clock, with seconds time display, 24-hour cycle timing; has a school, cleared, and th e whole point timekeeping functions. Key words: EDA technology; FPGA; VHDL language; top-down; digital cloc k

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

供配电实训心得体会

三一文库()/心得体会 〔供配电实训心得体会〕 供配电实训主要综合训练学生运用供配电技术、电气照明、建筑电气等专业知识,下面给大家整理了供配电实训心得体会,欢迎阅读! 供配电实训心得体会工厂供电课程实训结束了,我想这对于自己以后的学习和工作都会有很大的帮助,因为课程实训在很大程度上实现了理论与实际的相互结合,很好地实现了从书本到实际操作的一个过渡,反映了毕业之后从学校到社会的一个过程。俗话说的好:学以致用。学了不能用到生活中不能用到实践中,那么就相当于没有学。在这次实训中发现,虽然感觉理论上已经掌握,但在运用到实践的过程中仍然非常困难。 本次的实训地点是校内下安变配电所,变配电所的作用是将外面引入的电压变成用户所需的电压,并将电分配到各个用电点。实训时一个师傅理论联系实际,给我们上了一次生动而又具体实在的课程。这个变配电所主要由四个系统组成分别为高压系统、低压系统、计量系统等。配电柜由进线、测量、计量、变压器保护和进线保护组成。师傅说这个变配电所自动化集程度高,可以通过电脑终端来控制整个变配电

所的用电断电、监控是否有异常情况等,这样不仅节约劳力,更重要的是提高效率,减少误差,及时排除异常情况。 通过师傅的介绍,我们了解到在变电站的每一项设计并不是纯粹的利用理论知识就能解决的,而是要用到许许多多的工程估算,参数,考虑到现场的环境与实际情况。供电工作要很好地为生产服务,切实保证生产和生活用电的需要,同时做好节能工作,要从以下基本要求做起: (1)安全在电能的供应、分配和利用过程中,不应发生人生事故及设备事故。 (2)可靠应满足电能用户对供电可靠性的要求。 (3)优质应满足电能用户对电压和频率等质量的要求。 (4)经济供电系统投资要尽量少,运行费要低,尽可能节约电能和减少有色金属消耗。 此外,在供电工作中,要合理处理局部和全局、当前和长远等关系,要做到局部与全局协调,顾全大局,适应可持续发展要求。 通过这一次实训我对变配电所生产过程有一个完整的 概念;熟悉了变电所主接线连接方式、运行特点;初步了解了电气二次接线、继电保护及自动装置;了解了站用电的接线方式、备用方式及怎样提高站用电的供电可靠性;了解了控制屏、保护屏的布置情况及主控室的总体布置情况。通过这一次实训我学到了许多课堂上学不到的知识

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电子技术实训报告

数字电子技术实训报告书 课程设计题目: 利用四位全加器实现四位数据相加设计 目录 1题目分析 2设计思路 3电路图及电路原理分析 4电路的初步验证 5电路总设计与实现 6总结与体会 7参考文献

1题目分析: 课程设计题目: 利用四位全加器实现四位数据相加设计 课程设计主要内容: 设计要求: 通过8个开关分别设置两个四位8421BCD码的输入,通过数码管观察电路对任意两个8421BCD码相加后输出。 2设计思路 本设计通过八个开关将A3,A2,A1,A0和B3,B2,B1,B0信号作为加数和被加数输入四位串行进位加法器相加,将输出信号 S3,S2,S1,S0和向高位的进位C3通过译码器Ⅰ译码,再将输出的 Y3,Y2,Y1,Y0和X3,X2,X1,X0各自分别通过一个4008译码器,最后分别通过数码管BS204实现二位显示。 BCD码因为从0-9,只有10个有效数字。所以a和b的输入也只有0-9,结果也只能出现0-9。 如果结果超过9的范围,比如6+8,0110+1000,结果等于1110,为14,所以BCD码应该显示0001 0100, 所以调整的全加器应该对第一个全加器再加上6,0110,调整之后,1110+0110=0001 0100 为14,结果正确。所以,2个全家器的作用如上。周边电路就是判断结果是否大于9,如果大于,则驱动调整用全加器加6,如果不大于,则第二个全加器加0或者不工作。 8421BCD码实际为2进制的数据表示法。

一个全加器进行a+b计算。另一个全加器对第一个全家器的结果进行修正。从而实现四位全加器的相加。 3电路图及电路原理分析 a.我们在实验中,运用了两片4008芯片。其结构如下 4000系列数字电路,4008,4位二进制超前进位全加器 注:4008 4位二进制超前进位全加器 该电路包括4对二进制,还有一个最低位的进位输入端;输出端包括4位和输出以及这4位数的进位输出端。 b.4n位的全加器如下图所示,片与片之间按串行方式进位,片内采用超前进位方式。也可增加一个超前进位发生器,使片与片之间也采用超前进位方式。

机械工厂实习心得体会.docx

机械工厂实习心得(一) 暑期两周的工厂实习已经顺利结束了。期间,在陈老师的带领下,我们分时间段分别在在A公司和B机械厂进行参观和实习。在实习中我学到了许多我们在课堂上学不到的东西,也更好的巩固了我们在课堂上学的理论知识,同时通过车间师傅的讲解,更深层次的了解了各种机床的加工机理。 在实习过程中,我们先后了解了机械加工工艺的知识及方法,铸造工艺及设备的知识,各种机床和数控系统的知识,常用刀具的结构、选择、用途等方面,我们通过实习了解到了实践与理论的差异。通过实习期间的体会,我更加深刻地认识到了理论和实践并不是完全相同的,我们不能够把书本上的知识照搬、照抄到生产中来,这样不仅有时达不到理论效果,有时甚至会造成很大的经济损失和资源浪费。所以,在以后的学习当中,我会注意理论和实践的结合,学以致用,任何理论和知识只有与实践相结合,才能发挥出作用。将理论与实践结合起来,包括各种设备的实物勘察和设备操作步骤以及注意事项,还有各工序实际上的流程。这些大都和书本上相同,通过对机械设备的观察,弥补了理论知识的不足之处,加深了对知识的巩固。 虽说实习名义上有两星期,但是真正实习的时间只有一周。不知不觉实习的日子就这样过去了。回想在这七天的日子里,有时候自己也感觉很迷茫,有时候感觉自己很高兴。我很珍惜这七天的所学到的东西七天,学到这么多的东西我已经满足了。在这七天里,我学到了许多在课堂上学不到得东西,也懂得了很多从生活中无法获得的知识和经验。此次在工厂车间实习,我学到的更多的应该是自己的专业知识,实习上得到的感触及生产经验。在车间中,培养了自己收集资料的能力及提高分析问题的能力,使我更好地学习、掌握机械工程专业知识。在实习中也感到了生活的充实和学习的快乐,以及获得知识的满足。通过实习,不仅让我获得了机械加工的基础知识,了解机械生产一般操作过程、生产方式和工艺过程,熟悉了主要机械加工方法及其所用主要设备的工作原理和典型结构、安全操作技术,而且加强了理论联系实际的锻炼,提高了实践能力,培养了向工人及现场技术人员学习的工程素质。

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

工厂供电实训心得体会范文5篇

工厂供电实训心得体会范文5篇 作为一名电气工程及其自动化专业的学生这次课程实训是很有意义的。更重要的是如何把自己平时所学的东西应用到实际中。下面是我为大家推荐的工厂供电实训心得体会,供大家参考,希望大家喜欢。 精选工厂供电实训心得体会篇一 首先是在于本次的实习,东风发动机有限公司给我们安排上课的工程师,他们理论联系实际的讲解,以及用具体的实例给我们上了几次生动而又具体实在的课程,比如有关“数控改造”的介绍,如下:在这一堂课中,是我们实习的第一堂课,同时也是我听得最好的一堂课。哪个姓赵的工程师,给我们讲解了有关数控改造的发展趋势、数控机械改造的优势、数控改造的市场、数控系统的选择、数控改造的步骤等等,以及用了一个有关数控改造的具体实列给我们讲解有关数控改造。在没有听到这些介绍之前,以自己认为来看,数控改造就是对机械的其中一部分进行改造,但当听到这些介绍后,使自己对于数控改造有了一个全新的认识,就是它不仅仅是对其中的一部分进行改造,同时需要考虑这些改造对机械本身的运行、功能以及它的发展等等,都需要全面的考虑。 其次是在听有关工厂供电的介绍,电对于每个人来说都是再熟悉不过了,可是真正懂得它和利用它的人却不是很多,这对于我个人而言是深有体会,那是在以前在家里的时候,时不时的看见有的电线着火或是用电器被烧坏,甚至还亲自被电触过。在这次听有关姓张的工程师的讲解,感触很深。如他介绍的有关电力网的知识,这对于我们以后走进工作岗位或是在家里安装电线的时候能有一个很

好指导,这样可以避免很多不必要的损坏和减少许多危险的隐患。还有就是关于电压的等级以及指标等,这些都对供电有很大的影响。更重要的是介绍有关电在实际中的应用,如电力网的电力选择、高压电力的网的接线图、电压的调整的目的和方法等等,这些都是实际中应该存在和应该了解的。 第三是这次的实习让我见识不少,其中给我影响最深的是这里的工厂建设和每个车间里面的配置,尤其是各个生产流水线上的庞大机器,这些是我在经历了华中科大金工实习后的又一次接触到的,而且这里的各种各样的机器更大,自动化集程度更高,如这里的磨床和以前我所见过的磨床相比,那可简直是不可同日而语啊,它不光大了很多,更重要的可以自动根据物品的到来进行翻转和加工,然后加工结束后,又自动的将他们送走,还有就是铣床,这里的铣床是在我们以前见过的那些铣床的基础上进行改装过的,而且全部由电脑进行控制,如当需要加工的物品到来时,该铣床会自动将它送到加工部位,然后根据该物品的需要加工的程度自动的进行配料,然后检测,直到达到标准的时候才将他们送出。几乎在每个车间都是这样的,像生产曲轴这个生产流水线,光是这个车间都足足比我们南胡的一个篮球场还要大,里面的设备更是不用说,一根根曲轴由毛胚,刚从处加工的另一个车间运来,然后由吊车将他们一根根的放到下面有轨道的正在运转的铁车上,而后随着铁车的向前的运动而运动,那些曲轴每来到一个加工处,就由机器自动的对它进行调转、钻孔、摸洗,然后又运转到下一个环节,这样后面的曲轴跟着这样的,一直到最后。而他们在整个被加工的过程中,能由人工亲自动手的地方却不是很多,工人真正需要的是在那些重要的部位,如监控处以及各种测量处,有的甚至连测量处都是有机器自动完成,这样不仅节约劳力,更重要的是提高效率,减少误差。

FPGA实训报告——简易数字钟

桂林电子科技大学职业技术学院 课题:FPGA实训 专业:电子信息工程技术 学号: 姓名:

目录 关键词: (1) 引言: (1) 设计要求: (1) EDA技术介绍: (1) Verilog HDL简介: (1) 方案实现: (2) 工作原理: (2) 总结: (3) 结语: (3) 程序设计: (4)

数字钟 关键词:EDA、Verilog HDL、数字钟 引言: 硬件描述语言HDL(Hardware Des-cription Language)是一种用形式化方法来描述数字电路和系统的语言。目前,电子系统向集成化、大规模和高速等方向发展,以硬件描述语言和逻辑综合为基础的自顶向下的电路设计发放在业界得到迅猛发展,HDL在硬件设计领域的地位将与C和C++在软件设计领域的地位一样,在大规模数字系统的设计中它将逐步取代传统的逻辑状态表和逻辑电路图等硬件描述方法,而成为主要的硬件描述工具。 Verilog HDL是工业和学术界的硬件设计者所使用的两种主要的HDL之一,另外一种是VHDL。现在它们都已经成为IEEE标准。两者各有特点,但Verilog HDL拥有更悠久的历史、更广泛的设计群体,资源也远比VHDL丰富,且非常容易学习掌握。 此次以Verilog HDL语言为手段,设计了多功能数字钟,其代码具有良好的可读性和易理解性。 设计要求: 数字钟模块、动态显示模块、调时模块、到点报时模块等;必须有键防抖动功能。可自行设计8位共阴数码管显示;亦可用FPGA实验平台EDK-3SAISE上的4位数管,但必须有秒指导灯。 EDA技术介绍: 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 Verilog HDL简介: 硬件描述语言Verilog是Philip R.Moorby于1983年在英格兰阿克顿市的Gateway Design Automation硬件描述语言公司设计出来的,用于从开关级到算法级的多个抽象设

Verilog数字钟数电实验报告

专业:电子信息工程班级:电信1305班日期:2015.5.5 第3次实验 姓名:康健组别: 6 指导教师:成绩: 实验课题:EDA多功能数字钟 1、已知条件 Quartus II软件、FPGA实验开发装置。 2、主要技术指标 以数字形式显示时、分、秒的时间;小时计数器为同步24进制;要求手动校时、校分。 3、实验用仪器 PC、FPGA开发板、示波器、稳压电源等 4、电路工作原理 所谓的时钟,其实本质上就是计数器。以开发板上的晶振时钟作为时间基准。 然后通过分频模块(计数器)进行分频,得到1Hz的脉冲信号作为秒的信号脉冲,然后用模60的计数器构成秒的计数单元。每记60下就自动清零且产生进位信号。将这个进位信号作为分的计数器的使能信号,其中,分计数器也是模为60的计数器。这里的计数器都是由模10和模6 组成的BCD码的计数器。个位和十位分别是一个四位的数字。同理,每记满60,分计数器就会产生一个进位信号,这个进位信号作为小时的使能信号。小时的计数器就是模24的BCD计数器。注意,这里的整个电路都是用1HZ的频率作为时间脉冲的,也就是说,这个电路是同步时序的电路。通过使能,来控制各个部分的时序逻辑。将小时和分的使能信号在总是为有效电平和下一级进位信号做选择,就是时钟调时状态和正常计时状态的切换。当在调时状态的时候,时钟每完成一个周期,无论是分钟还是小时,就向前加1,。最后,将分钟和小时通过译码器连接到数码管。将秒直接连接到LED灯,完成整个工程的基本功能(扩展功能见选作的实验报告)。 5、电路设计与调试 1、模10计数器的设计

2、模6计数器的设计 3、模60计数器设计(分、秒计数) 4、模24计数器设计(小时计数)

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

设备拆装实训心得3篇.docx

篇一:设备拆装实训心得 机械拆装实习转眼就结束了,但留给我的收获却是巨大的。总的来说,这次实习活动是一次有趣的,且必给了我今后的学习工作上带来重要的经验的一次经历。对我们来说,机械拆装实训是一次很好的学习、锻炼的机会,甚至是我们生活态度的教育的一次机会!在这次实训中,让我体会最深的是理论联系实际,实践是检验真理的唯一标准。理论知识固然重要,可是无实践的理论就是空谈。真正做到理论与实践的相结合,将理论真正用到实践中去,才能更好的将自己的才华展现出来。我以前总以为看书看的明白,也理解就得了,经过这次的实训,我现在终于明白,没有实践所学的东西就不属于你的。俗话说:“尽信书则不如无书”我们要读好书,而不是读死书。任何理论和知识只有与实习相结合,才能发挥出其作用。而作为思想可塑性大的我们,不能单纯地依靠书本,还必须到实践中检验、锻炼、创新;去培养科学的精神,充分发挥自己的独创,不断地提高自己。 随着科学的迅猛发展,新技术的广泛应用,会有很多领域是我们未曾接触过的,只有敢于去尝试才能有所突破,有所创新。机械拆装实习带给我们的,不全是我们所接触到的那些操作技能,也不仅仅是通过几项工种所要求我们锻炼的几种能力,更多的则需要我们每个人在实习结束后根据自己的情况去感悟,去反思,勤时自勉,有所收获,使这次实习达到了他的真正目的。我们知道,“机械拆装实习”是一门实践性的技术基础课,是高等院校工科学生学习机械制造的基本工艺方法和技术,完成工程基本训练的重要必修课。它不仅可以让我们获得了机械制造的基础知识,了解了机械制造的一般操作,提高了自己的操作技能和动手能力,更加强了理论联系实际的锻炼,提高了工程实践能力,培养了工程素质。 通过这次实习使我们学到很多书本上学不到的东西,多多少少的使我们加深了对课本知识的了解。这次拆装实习不仅把理论和实践紧密的结合起来,加深了我们对模具,夹具内部原理的了解,也初步掌握了拆装的基本要求和一般的工艺线路,同时也加深了对工具的使用和了解。这不仅提高了我们的动手能力,而且也增进了我们团队中的合作意识,

FPGA可调数字时钟实验报告

一、实验要求 1、用vhdl编程,实现10进制计数器 2、用vhdl编程,实现60进制计数器 3、用vhdl编程,实现数字时钟,时、分、秒、毫秒分别显示在数码管上。 4、实现可调数字时钟的程序设计,用按键实现时、分、秒、毫秒的调整。 二、实验原理 用VHDL,行为级描述语言实现实验要求。思路如下: 1、分频部分:由50MHZ分频实现1ms的技术,需要对50MHZ采取500000分 频。 2、计数部分:采用低级影响高级的想法,类似进位加1的思路。对8个寄存器进 行计数,同步数码管输出。 3、数码管输出部分:用一个拨码开关控制显示,当sw0=0时,四位数码管显示 秒、毫秒的计数。当sw0=1时,四位数码管显示时、分得计数。 4、调整部分:分别用四个按键控制时、分、秒、毫秒的数值。先由一个开关控制 计数暂停,然后,当按键按下一次,对应的数码管相对之前的数值加1,,通过按键实现时间控制,最后开关控制恢复计数,完成时间调整。 5、整个实现过程由一个文件实现。 三、实验过程 各个引脚说明: Clk:50MHZ SW:数码管切换,SW=’0’时,数码管显示为秒,毫秒。SW=’1’时,数码管显示为时,分。 SW1:暂停与启动。SW1=’0’时,时钟启动,SW=’1’时,时钟暂停。 SW2:时钟调整接通按钮,当SW2=’0’时,不进行调整,当SW=’1’时,通过按键调整时间。 KEY0:毫秒调整,按一次实现+1功能 KEY1:秒调整,按一次实现+1功能

KEY2:分调整,按一次实现+1功能 KEY3:时调整,按一次实现+1功能 Q0;第一个数码管 Q1; 第二个数码管 Q2: 第三个数码管 Q3: 第四个数码管 1、源代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity paobiao is port(clk,sw,key0,key1,key2,key3,sw1,sw2:in std_logic; q0:out std_logic_vector(6 downto 0); q1:out std_logic_vector(6 downto 0); q2:out std_logic_vector(6 downto 0); q3:out std_logic_vector(6 downto 0)); end paobiao; architecture behave of paobiao is signal cntt1 :integer range 0 to 10; signal cntt2 :integer range 0 to 10; signal cntt3 :integer range 0 to 10; signal cntt4 :integer range 0 to 6; signal cntt5 :integer range 0 to 10; signal cntt6 :integer range 0 to 10; signal cntt7 :integer range 0 to 10; signal cntt8 :integer range 0 to 6;

配电实习报告

广东机电职业技术学院 供配电实习报告 (2012-2013学年第二学期) 专业:电气自动化技术 班级:电气1107班 姓名: 学号: 指导教师:廖忠、朱卓诚 时间:第8 周(2013年4月22日——2013年4月26日) 实训室供配电开关柜实训 一、实习动员与安排及查阅相关资料 1. 熟悉供配电系统的各种相关规范。 2. 熟悉广州市供电部门对高压供配电系统及计量方面的技术要求 3. 掌握负荷分级的原则及供电要求 4. 熟悉应急电源与自备发电电电源的选择 5. 掌握负荷的计算方法 6. 掌握电能质量要求及电压选择原则 7. 熟悉公配系统的接线方式及特点 8. 了解无功补偿的设计要求 为了了解上面的信息,我上网查了很多资料,部分资料如下: 一、供电要求 (1)一级负荷的供电电源应符合下列规定: 1)一级负荷应由两个电源供电;当一个电源发生故障时,另一个电源不应同时受到损坏。 2)一级负荷中特别重要的负荷,除由两个电源供电外,尚应增设应急电源,并严禁将其它负荷接入应急供电系统。 (2)二级负荷的供电系统,宜由两回线路供电。在负荷较小或地区供电条件困难时,二级负荷可由一回6kv及以上专用的架空线路或电缆供电。当采用架空线时,可为一回架空线供电;当采用电缆线路时,应采用两根电缆组成的线路供电,其每根电缆应能承受100%的二级负荷。 (3)三级负荷供电无特别要求。 注:(1)独立电源是指若干电源中,任一电源因故障而停止供电时,不影响其他电源继续供电。同时具备下列两个条件的变电所的不同母线段均属独立电源。 ①每段母线的电源来自不同的发电机; ②母线段之间无联系,或虽有联系但在其中一段发生故障时,能自动断开联系,不影响其余母线段继续供电。 (2)独立电源点是指若干独立电源来自不同的地点。任一电源点因故障而停止供电时,不影响其他电源继续供电两个发电、一个发电厂和一个地区电网或一个电力系统中的两个区域性变电所都属于两个独立电源点。 二、负荷的计算方法 计算负荷是一个假想的持续性负荷,其热效应与同一时间内实际变动负荷所产生的最大热效应相等。在配电设计中,通常采用30min的最大平均负荷作为按发热条件选择电器或导体的依据。 用电负荷计算的目的是为了合理选择供电系统的变压器、发电机、馈电线和开关设备等,也是计算电压水平和确定电能消耗的重要依据。电力负荷的计算正确与否,对选择高低压供

相关文档
最新文档