模电设计实验报告多功能锯齿波发生器的设计(DOC)
多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告题目:多功能信号发生器专业:电子信息工程班级:2006级03班小组:第12组学号及姓名:20060075蒋春20060071冯志磊20060070冯浩真指导教师:戴琦琦设计日期:2009-6-19多功能信号发生器设计报告一、设计题目运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。
二、课题分析(1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。
(2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。
(3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。
最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。
同时为了方便观察,应再引出个未经调幅的信号作为对比。
三、设计的具体实现1、系统概述系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。
2、单元电路设计与分析外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。
(1)分频器(DVF)分频器(DVF)的RTL截图分频器(DVF)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT( D_MODE: IN STD_LOGIC_VECTOR(3 DOWNTO 0);D_CLK: IN STD_LOGIC;D_OUT: OUT STD_LOGIC);END DVF;ARCHITECTURE behav of DVF ISSIGNAL FULL: STD_LOGIC;BEGINP_REG: PROCESS(D_CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF D_CLK'EVENT AND D_CLK='1' THENIF CNT8="1111" THENCNT8:=D_MODE;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN D_OUT<='1'; ELSE D_OUT<='0';END IF;END IF;END PROCESS P_DIV;END behav;(2)地址发生器(CNT6B)地址发生器(CNT6B)的RTL截图地址发生器(CNT6B)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6B ISPORT (C_CLK,C_RST,C_EN : IN STD_LOGIC;C_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END CNT6B;ARCHITECTURE behav OF CNT6B ISSIGNAL CQI : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINPROCESS(C_CLK,C_RST,C_EN)BEGINIF C_RST = '1' THEN CQI <= (OTHERS =>'0') ; --计数器异步复位ELSIF C_CLK'EVENT AND C_CLK='1' THEN --检测时钟上升沿IF C_EN = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;C_OUT<=CQI;END behav;(3)四个ROM模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)data_rom_sin正弦波的ROM数据:data_rom_sqr方波的ROM数据:data_rom_tri三角波的ROM数据:data_rom_c锯齿波的ROM数据:ROM模块的源程序(以正弦波为例,其余以此类推):LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY data_rom_sin ISPORT( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END data_rom_sin;ARCHITECTURE SYN OF data_rom_sin ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a : STRING;init_file : STRING;intended_device_family : STRING;lpm_hint : STRING;lpm_type : STRING;numwords_a : NATURAL;operation_mode : STRING;outdata_aclr_a : STRING;outdata_reg_a : STRING;widthad_a : NATURAL;width_a : NATURAL;width_byteena_a : NATURAL);PORT ( clock0 : IN STD_LOGIC ;address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END COMPONENT;BEGINq <= sub_wire0(7 DOWNTO 0);altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",init_file => "data_rom_sin.mif",intended_device_family => "Cyclone",lpm_hint => "ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 64,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "CLOCK0",widthad_a => 6,width_a => 8,width_byteena_a => 1 )PORT MAP (clock0 => clock,address_a => address,q_a => sub_wire0);END SYN;(4)四输入多路选择器mux四输入多路选择器mux的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux IS PORT(a,b,c,d: in std_logic_vector(7 downto 0);s: in std_logic_vector(1 downto 0);x:out std_logic_vector(7 downto 0));end mux;architecture archmux of mux isbeginmux4_1: process(a,b,c,d)beginif s="00" then x<=a;elsif s="01" then x<= b;elsif s="10" then x<=c;else x<=d;end if;end process mux4_1;end archmux;四输入多路选择器mux的RTL截图(5)幅度调节单元w幅度调节单元w的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY W ISPORT( W_IN: IN STD_LOGIC_VECTOR(7 DOWNTO 0);W_MODE: IN STD_LOGIC_VECTOR(1 DOWNTO 0);W_OUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END W;ARCHITECTURE BEHAV OF W ISSIGNAL BUFF:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINW_OUT<=BUFF ;PROCESS( W_IN,W_MODE )BEGINCASE W_MODE ISWHEN "00" => BUFF<=W_IN ;WHEN "01" =>BUFF( 6 DOWNTO 0 )<=W_IN( 7 DOWNTO 1 ) ; BUFF( 7 )<='0';WHEN "10" =>BUFF( 5 DOWNTO 0 )<=W_IN( 7 DOWNTO 2 ) ;BUFF( 7 )<='0';BUFF( 6 )<='0';WHEN OTHERS =>BUFF( 4 DOWNTO 0 )<=W_IN( 7 DOWNTO 3 ) ; BUFF( 7 )<='0';BUFF( 6 )<='0'; BUFF( 5 )<='0';END CASE;END PROCESS;END ARCHITECTURE BEHAV;幅度调节单元w的RTL截图3.总的结构图4.通过逻辑分析仪观察到得波形图四、总结通过本次课程设计既巩固了EDA的一些相关基本知识,又熟悉了QuartusII 软件和相关硬件的相关操作。
方波锯齿波设计报告

方波锯齿波设计报告引言方波锯齿波是一种常见的电子信号波形,在电子工程中有广泛的应用。
本设计报告将介绍方波锯齿波的定义、特点和具体设计过程。
方波锯齿波定义和特点方波锯齿波是指周期性的电压信号,其上升时间和下降时间非常短暂,并且波形在上升和下降过程中以直线形式呈现,类似于锯齿的形状。
具体来说,方波锯齿波由两个不同电平的电压水平组成,即高电平和低电平。
方波锯齿波的特点如下:1. 由于上升和下降时间非常短暂,方波锯齿波的频率非常高,通常在几十千赫至数百千赫之间。
2. 方波锯齿波是一个周期性的信号,可用于控制和调节电路中的各种功能。
3. 方波锯齿波的傅里叶级数展开中,包含着各种频率的谐波成分,这为信号分析提供了便利。
方波锯齿波设计过程方波锯齿波的设计过程需要考虑波形、频率和幅度等参数的选择,下面将介绍具体的设计步骤。
步骤一:选择方波锯齿波的周期首先,根据需要设计的电路或应用,确定方波锯齿波的周期T。
周期T是方波锯齿波一个完整周期的时间长度,单位通常为秒。
步骤二:确定方波锯齿波的频率频率是指信号在单位时间内重复周期的次数,用赫兹(Hz)作为单位。
根据步骤一中的周期T,可以通过频率f=1/T计算出相应的频率。
步骤三:选择方波锯齿波的幅度幅度是指方波锯齿波的峰值电压差,通常以伏特(V)作为单位。
根据设计需求以及电路的工作条件,选择合适的幅度。
步骤四:设计方波锯齿波产生电路在设计方波锯齿波产生电路时,可以使用集成电路或模拟电路实现。
集成电路的优点是稳定性高、精度高,而模拟电路的优点是灵活性高、调节范围广。
集成电路实现方波锯齿波产生电路常用的集成电路有555定时器和AD9833数字函数发生器。
这些集成电路内部已经集成了方波锯齿波的产生功能,只需根据设计需求调节相应的参数即可。
模拟电路实现方波锯齿波产生电路常用的模拟电路实现方波锯齿波的方法是使用脉冲宽度调制(PWM)技术,通过改变脉冲的占空比来实现方波锯齿波的产生。
锯齿波发生器2

3 4 5 6 7 10 2 9 1 +5 V
P0 P1 P2 P3 CE P CE T CL K PE MR
Q0 Q1 Q2 Q3 TC
14 13 12 11 15 D A C 0 83 2 17 1 13 14 15 16 4 5 6 7 X fer CS D I7 D I6 D I5 D I4 D I3 D I2 D I1 D I0 W R1 W R2 IL E 2 18 19 +5 V V re f R fb Iou t 2 Iou t 1
返回
倒T型电阻网络D/A转换器的特点: ①优点:电阻种类少,只有R和2R,提高了制造精度; 而且支路电流流入求和点不存在时间差,提高了转换 速度。 ②应用:它是目前集成D/A转换器中转换速度较高且 使用较多的一种,如8位D/A转换器DAC0832,就是采 用倒T型电阻网络。
返回
[例] 已知倒置T型电阻网络DAC的UREF =20V,试分别求出 八位DAC的最小(最低位为1时)输出电压Uomin和最大输出 电压Uomax。
解:由公式 得到八位 uo V REF 2
n
N u o min 20 2
8
DAC 的最小输出电压
1 0 . 08 V
当数字量各位均为 u o max 20 2
8 8
1时输出电压最大
, 故八位 DAC 的最大输出电压为
( 2 1 ) 19 . 92 V
返回
三、D/A转换器的主要技术指标
外接的运放741将0832转换后的电流输出转换为电压输 出,输出电压与参考电压UREF成正比。
提示:请点击各图标观看。
返回
安装与调试 安装
按正确方法插好IC芯片,连接线路。电路可以连接在自制的PCB(印 刷电路板)上,也可以焊接在万能板上,或通过“面包板”插接。
正弦波方波锯齿波函数发生器

v .. . ..课程设计说明书课程设计名称:模拟电路课程设计课程设计题目:正弦波方波锯齿波函数发生器学院名称:信息工程学院专业:电子信息工程班级:学号:姓名:评分:教师:20 11 年04 月07 日《模拟电路》课程设计任务书20 10 -20 11 学年 第 2 学期 第 1 周- 2 周题目设计制作一个产生正弦波-方波-三角波函数转换器内容及要求 ① 输出波形频率范围为0.02Hz~20kHz 且连续可调;② 正弦波幅值为±2V ,;③ 方波幅值为2V ;④ 三角波峰-峰值为2V ,占空比可调;⑤ 设计电路所需的直流电源可用实验室电源。
进度安排1. 布置任务、查阅资料、选择方案,领仪器设备: 2天;2. 领元器件、制作、焊接:3天3.调试: 2天4. 验收:0.5天学生姓名:朱翔指导时间2011年2月21日~2011年3月4日 指导地点: E 楼 610室任务下达 20 11 年 2 月 21日任务完成 20 11 年 3 月 4 日考核方式 1.评阅 □√ 2.答辩 □ 3.实际操作□√ 4.其它□注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。
2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。
摘要本次课程设计是要求做一个能够产生正弦波-方波-三角波函数转换器.众所周知,制作函数发生器的电路有很多种.本次设计采用的电路是基于运放和晶体二极管的试验电路.由理论分析知,电压比较器可以产生方波,积分电路可以产生三角波,三角波可直接通过RC振荡电路产生.各种波形频率段的调整可以由外电路的改变来实现,例如,改变电容的值.先收集所有有用的资料,选择好电路图。
最后使用multisim软件模拟整个制作的电路,在模拟中,要解决出现的种种问题.关键字:RC振荡,电压比较器,积分电路目录第一章设计的目的及任务 (5)1.1 课程设计的目的 (5)1.2 课程设计的任务与要求 (5)1.3 课程设计的技术指标 (5)第二章总体电路设方案 (6)2.1 正弦波发生电路的工作原理 (6)2.2 正弦波转换方波电路的工作原理 (9)2.3 方波转换成三角波电路的工作原理 (11)2.4 总电路图 (12)第三章单元电路设计 (13)3.1 正弦波发生电路的设计 (13)3.2 正弦波转换方波电路的设计 (15)3.3 方波转换成三角波电路的设计 (16)第四章电路仿真 (18)4.1 电路仿真 (18)第五章收获体会 (20)第六章参考文献 (21)附录一 (22)附录二 (23)第一章设计的目的及任务1.1课程设计的目的:1.掌握电子系统的一般设计方法2.掌握模拟IC器件的应用3.培养综合应用所学知识来指导实践的能力4.掌握常用元器件的识别和测试5.熟悉常用仪表,了解电路调试的基本方法1.2课程设计任务与要求:1.设计一个能产生正弦波、方波、三角波信号发生器,2能同时输出一定频率一定幅度的3种波形:正弦波、和三角波;3可以用±12V或±15V直流稳压电源供电;1.3 课程设计的技术指标:1.设计、组装、调试函数发生器2.输出波形:正弦波、方波、三角波;3.频率范围:在0.02Hz-20kHZ范围内可调;4.输出电压:方波幅值为2V,三角波幅值为2V,正弦波峰峰值为2V,占空比可调。
波形发生器——模电课程设计报告

0000大学机电工程学院本科生课程设计课程:模拟电子技术根底题目:波形发生器班级:111XX:111111学号:100000000指导教师:000000 完成日期:摘要波形发生器是用来产生一种或多种特定波形的装置,这些波形通常有正弦波、方波、三角波、锯齿波,等等。
以前,人们常用模拟电路来产生这种波形,其缺点是电路构造复杂,所产生的波形种类有限。
随着单片机技术的开展,采用单片机电路产生各种波形的方法已变的越来越普遍。
虽然,可能产生的波形会呈微小的阶梯状,但是,只要设计得当,这一问题可以得到一定的解决。
本设计使用的是555_virtual构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变本设计制作的波形发生器,可以输出多种标准波形,如方波、正弦波、三角波、锯齿波等。
目录1设计的目的及任务〔4〕1.1 课程设计的目的〔4〕1.2 课程设计的任务与要求〔4〕1.3 课程设计的技术指标〔4〕2 电路设计总方案及原理框图〔6〕2.1 电路设计原理框图〔6〕2.2电路设计原理图〔6〕2.3方案设计〔7〕2.4主要芯片介绍〔7〕3 各局部电路设计〔9〕3.1系统的电路总图 (9)3.2正弦波 (9)3.3方波产生电路 (10)3.4 三角波 (12)4 电路仿真 (14)4.1 Multisi (14)4.2 仿真电路 (14)5 实验结果 (17)5.1调试产生方波-三角波的电路 (17)5.2设计数据 (17)6 设计总结 (18)7 仪器仪表清单 (20)1设计的目的及任务1.1课程设计的目的利用所学微机的理论知识进展软硬件整体设计,锻炼学生理论联系实际、提高我们的综合应用能力。
本次课程设计是以微机为根底,设计并开发能输出多种波形〔正弦波、三角波、锯齿波、方波、梯形波等〕且频率、幅度可变的函数发生器。
掌握各个接口芯片的功能特性及接口方法,并能运用其实现一个简单的微机应用系统功能器件。
模拟电路实验报告——波形发生器

模拟电路实验报告RC波形发生器电路一.实验设计1.首先需要一个可以产生方波、矩形波、锯齿波、三角波四种波形的电路,分析后可以得知mooc中给出的锯齿波电路(右图)便可以产生这四种波形。
2.根据公式T=2(R PN+R)R/R,可知欲改变信号的频率,可以得到三412种改变信号频率的方法。
{1>①在AB两点间串联一个滑动变阻器②在CD两点间串联一个滑动变阻器③在B点添加一个滑动变阻器改变分压2>①由公式η=(R PP+R)/(R PN+R)可知若在AB两点间添加滑动变阻44器,则会在改变信号的频率的同时改变信号的占空比,所以不可以在AB两点间串联一个滑动变阻器。
②由公式V OM=(R*V)/R可知若在CD两点间添加一个滑动变阻器,1Z2则会在改变信号的频率的同时改变信号的幅值。
所以也不可以在CD 两点间串联一个滑动变阻器。
③所以只有在B点添加一个滑动变阻器改变分压以此来改变信号的频率是可行的,由此改动电路如下。
3>为保证分压只与滑动变阻器有关,故在在R7后连接一个电压跟随器,并将R和R减小以提高信号的频率,最终电路图如下。
84O二.实验步骤1 2 3 >严格按照最终电路连接好。
>示波器 A 通道两端接在 A 点与地,B 通道两端接在 O 点与地。
>分别将 R 和 R 调整到 0%与 100%,记录下四组照片,这便是锯79齿波与矩形波的图像。
>将 R 和 R 调整到 50%,记录下这组照片,这便是三角波与方波 的图像。
三.理论分析 4 7 9 1 . 理论分析>锯齿波与矩形波(占空比最低):由公式η=(R PP +R 调整到 0%时(既 R PP =0Ω时),占空比最低。
当 R 调整到 0%时,分的电压最小,此时信号的周期最小, 频率最高。
当 R 调整到 100%时,分的电压最大,此时信号的周期最大, 频率最低。
>锯齿波与矩形波(占空比最高):由公式η=(R PP +R 调整到 100%时(既 R PN =0Ω时),占空比最高。
幅度频率可调的锯齿波发生器
辽宁工业大学模拟电子技术基础课程设计(论文)题目:幅度频率可调的锯齿波发生器院(系):专业班级:学号:学生姓名:指导教师:起止时间:课程设计(论文)任务及评语院(系): 教研室:注:成绩:平时20% 论文质量60% 答辩20% 以百分制计算 学 号学生姓名 专业班级 课程设计(论文)题目幅度频率可调的锯齿波发生器 课程设计(论文)任务 设计参数:(1)现设计并制作能产生锯齿波波形信号输出的函数发生器。
(2)设计电路所需的直流稳压电源。
(3)输出的波形工作频率范围0.02Hz ~1kHz 连续可调。
(3)方波幅值±10V ,波峰峰值20V ;各种输出波形幅值均连续可调。
设计要求:1 .分析设计要求,明确性能指标。
必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。
2 .确定合理的总体方案。
对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。
3 .设计各单元电路。
总体方案化整为零,分解成若干子系统或单元电路,逐个设计。
4 .组成系统。
在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。
进度计划 第1天:集中学习;第2天:收集资料;第3天:方案论证;第4天:选择器件进行单元电路设计;第5天:单元电路设计及仿真;第6天:整体电路设计并仿真;第7天:电路焊接制板;第8天:焊接调试;第9天:完善设计;第10天:答辩。
指导教师评语及成绩平时: 论文质量: 答辩:总成绩: 指导教师签字:年 月 日摘要在我们日常生活中,以及一些科学研究中,锯齿波是常用的基本测试信号。
在无线电通信,测量,自动化控制等技术领域广泛地应用着各种类型的信号发生器此外,如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。
例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转板加上随时间作线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。
电力电子技术锯齿波同步移相触发电路实验报告
实验报告课程名称:电力电子技术及应用实验项目:锯齿波同步移相触发电路实验备注:序号(一)、(二)、(三)、(四)为实验预习填写项。
五、实验内容与步骤图1 锯齿波同步移相触发电路I 原理图1、锯齿波同步移相触发电路的调试。
2、锯齿波同步移相触发电路各点波形的观察和分析。
(1)用两根导线将PE-01电源控制屏的“三相主电路”A、B、C输出任意两相与PE-12的“外接220V”端连接;按下控制屏上的“启动”按钮,听到控制屏内有交流接触器瞬间吸合,此时“三相主电路输出”应输出线电压为220V的交流电源;打开PE-12电源开关,船形开关发光,这时挂件中所有的触发电路都开始工作;用数字存储示波器观察锯齿波同步触发电路各观察孔的波形。
同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。
观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。
调节电位器RP1,观测“2”点锯齿波斜率的变化。
观察“3”~“8”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“4”点电压U4和“8”点电压U8的对应关系。
(2)调节触发脉冲的移相范围将控制电压Uct调至零(即将电位器RP2逆时针旋到底),用示波器观察同步电压信号和“8”点U8的波形,调节偏移电压Ub(即调RP3电位器),使α=170°。
(3)调节Uct使α=60°,观察并记录U1~U8及输出“G、K”脉冲电压的波形,标出其幅值与宽度。
六、实验记录与处理60°TP1: TP2: TP3: TP4:TP5: TP6:TP7: TP8:U1U2U3U4U5U6U7U812.410.89.60.8814.49.615.612.8数值(V)13.413.413.412.89.2 1.2 1.2 1.2宽度(ms)。
锯齿波发生电路
锯齿波发生电路锯齿波发生电路是一种可以产生正弦波、方波、锯齿波的电路,它普遍应用于快速相移键控技术,电子频谱仪,脉冲波形发生器和计算机系统等。
锯齿波发生电路是一种电路模块,能够在一个电流或电压量中添加锯齿状信号。
锯齿波发生电路由两种元件和一些电阻组成,通常是一个可变电容器、一个电桥和一些分压电阻组成。
锯齿波发生器的原理是电容和电桥的变化导致电路中的对称性被打破,电压相移,从而产生锯齿波。
当电容和电桥改变电路中的对称性时,将出现电压和电流的相位差,这就是锯齿波发生器的原理。
锯齿波发生电路有许多应用,其中最重要的一个应用就是激光电路,它将锯齿波发生器用于激光电路中,以实现激光发射、控制和检测。
锯齿波发生器还可以用于变频器,示波器,电磁制动器,水表,照明控制,火警系统等等。
锯齿波发生电路的设计要考虑到反馈回路的稳定性,以及电容和电阻的参数影响,这些因素对电路的功能和性能有很大的影响。
其中,反馈回路的稳定性是最重要的,锯齿波发生电路必须具有稳定的反馈回路,以确保锯齿波发生器正确地产生准确的信号。
另外,电容和电阻参数也会影响电路的性能,电容和电阻的参数必须恰当地调整,以确保电路能够正确地工作,否则可能会导致电路功能失效。
此外,电容和电阻的参数也会影响到锯齿波发生器的工作频率,电容和电阻的参数越大,产生的锯齿波的频率就越高。
因此,要想改变锯齿波发生器的频率,就必须通过调整电容和电阻的参数来实现。
总之,锯齿波发生器是一种有用及十分重要的电路,它可以产生正弦波、方波和锯齿波,广泛应用于快速相移键控技术,电子频谱仪,脉冲波形发生器和计算机系统等,但是在设计锯齿波发生电路时,要考虑到反馈回路的稳定性,以及电容和电阻参数的影响,以确保电路正确地工作。
模电课程设计报告《多种波形发生器》
课程设计报告多种波形发生器专业电子信息工程学生姓名马跃班级B电子062学号**********指导教师孙宏国完成日期2008年10月25日摘要:正弦波和非正弦波发生电路常作为信号源被广泛地应用于无线电通信以及自动测量和自动控制等系统中。
通常把既能产生正弦波又能产生三角波、方波、锯齿波等非正弦输出信号的电路叫作函数信号发生器。
在电子技术应用领域,要求信号源的温度、频率的稳定性都比较高。
介绍的5G8038是一种性能稳定、精度较高的集成芯片。
介绍了用5G8038设计多功能函数信号发生器的方法。
关键字:5G8038;直流稳压电源;频率选择控制一、目录:一.课程目的二.设计任务与要求三. 总体方案设计四. 电路设计原理与参考电路4.1总体电路框图4.2设计思路五.单元电路的设计说明5.1方波发生电路的工作原理5.2 方波---三角波转换电路的工作原理5.3 三角波---正弦波转换电路的工作原理六.总体电路6.1总电路图6.2元器件的选择和电路参数计算的说明七. 具体过程7.1 函数发生器5G8038的指标和应用7.2 直流稳压电源7.3 频率控制八.设计小结九.参考文献一、课程目的1.培养学生查阅资料的能力2.培养学生综合运用所学知识的能力3.培养学生综合设计和实践能力4.培养学生团队精神5.培养学生市场素质6.培养学生撰写课程设计总结报告的能力二.设计任务和要求波形的产生及变换电路是应用极为广泛的电子电路,现设计并制作能产生方波、三角波及正弦波等多种波形信号输出的波形发生器。
要求:1.输出的各种波形工作频率范围0.02Hz~20kHz连续可调;2.正弦波幅值+10v,失真度小于1.5%3.方波幅值+10v4.三角波波峰-峰值20v;各种输出波形幅值均连续可调;5.设计电路所需的直流电源。
6.完成整体电路设计及论证7.完成课程设计说明书三.总体方案设计波形产生电路通常可采用多种不同电路形式和元器件获得所要求的波形信号输出。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
广东石油化工学院
课程设计说明书
课程名称: 模拟电子技术课程设计
题 目: 多功能锯齿波发生器的设计
学生姓名:
专 业:
班 级:
学 号:
指导教师:
日 期: 年 月 日
多功能锯齿波发生器的设计
一、设计任务与要求
1.运用集成运算放大器为主要器件,设计—个锯齿波产生电路;
2.在控制开关的作用下,能实现单周期扫描、间歇扫描、连续扫描和停止扫描
控制功能;
3.具有输出幅度调节、直流偏置调节和扫描周期调节功能;
4.输出电压幅度在±10V的范围内可调,线性度优于0.01%;
二、方案设计与论证
本次设计首先采用比较器输出矩形波,通过积分器将波形转换为三角波,调
节电位器,当积分电路的正向积分时间常数远大于反向积分常数,或者反向积分
时间常数远大于正向积分时间常数时,那么输出电压U0上升和下降的斜率相差
很多,就可以获得锯齿波。利用二极管的单向导电性使积分电路两个方向的积分
通路相同,就可得到锯齿波发生电路。再将输出接到同向求和运算电路,就能得
到直流偏置的效果。
方案一、锯齿波发生器电路可以由集成函数发生器8038构成
方案二、锯齿波发生器电路也可以由555定时芯片构成的自举电路产生
方案三、锯齿波可用积分器和模拟电压比较器实现,对电路的工作方式控制可以
通过电子开关,也可以用手动控制。由于题目的要求,本设计采用的是集成运放
构成的电路。电路的总体方案框图如下:
锯齿波发生器
直流稳压电源
迟滞比较器
充放电时间常数
不等的积分器
电源变压器
整流电路
三、单元电路设计与参数计算
3.1锯齿波发生器电路:
设二极管导通的等效电阻可忽略不计,电位器的滑动端移到最上端。当
U01=+Uz时,D1导通,D2截止,输出电压的表达式为:
100031()()OZ
uUttutRC
0
u
随时间线性下降。当01ZUu时,D2导通,D1截止,输出电压的表达式
为:
0210131()()()ZWUttutRRCu
0
u
随时间线性上升。由于Rw远大于R3,01u和0u的波形如图(b)所示。
根据三角波发生电路震荡周期的计算方法,可以得出下降时间和上升时间分
别为:
1
1103
2
2RRCRTtt
1
2
2213()2W
R
RRCRTtt
所以震荡周期为:
1322(2)WRRRCRT
将1R和2R设为电位器,则调整1R和2R、WR的阻值以及C的容量,可以改
震荡周期。调整WR的值可以改变的输出波形的占空比,以及锯齿波上升和下降
斜率。
根据积分器的特点,锯齿波的转换须将时间常数设置的尽量小一点,可以选
择C为0.01uf和0.1uf, 稳压管稳压值为10V,
电位器1R取10K,2R取20K,WR取5K,3R取100,4R取500,
5
R
取5K。
3.2直流偏置电阻的计算
直流偏置调节电路:
同向求和电路中,多个输入信号同时作用于集成运放的同向输入端。根据运
算关系,得:
1313
1210111210111111()ii
P
ui
RR
uu
uRRRRR
同向输入端电位为:
13121011()iiipPuRuuRRuR
其中PR=10R‖11R‖13R
经计算可得:
09
13
121011()ii
ui
R
uu
RR
uR
在此电路中,输入iu为上一级的的输出,i1u输入+15V,i2u输入-15V,
(1)当9R、13R取10K,10R取5K,11R取5K时,经计算,可得0u为+10V;
(2)当9R、13R取5K,10R取5K,11R取2.1K时,经计算,可得0u为-10V。
因此电路中可设13R=10K,电位器10R、11R取10K,9R取10K。
四、总原理图及元器件清单
1.总原理图
电路如图:
2.元件清单
表4 元器件清单
元件
序号
型号及主要参数 数量
1 10V/1.5W稳压管 2个
2 二极管1N4148 2个
3 单运放LM318 3个
4 电位器10kΩ 4个
5 电位器20kΩ 1个
6 500Ω、100Ω电阻 各1个
7 10 kΩ、5 kΩ、1 kΩ电阻 各1个
8 瓷片电容1μF 各1个
五、仿真调试与分析
5.1.1 锯齿波发生器
主要有迟滞比较器和RC充放电电路组成。比较器属于信号处理的一种,他
的作用是将输入信号的电平进行比较,然后把比较的结果输出。实验采用的迟滞
比较器的特点是:单输入增大及减少时,两种情况下的门限电压不相等,传输特
性呈现出“滞回”曲线的形状,
迟滞比较器电路如图a所示:
当uI<-UT,uN
当uI>+UT,uN>uP,因而uo=-UZ,所以uP=-UT。uI<-UT,uo=+UZ。
可见,uo从+UZ跃变为-UZ和uo从-UZ跃变为+UZ的阈值电压是不同的,电压
传输特性如图(b)所示。
RC充放电电路:
由于集成运放的同相输入端通过R’接地,uN=uP=0,为“虚地”。
电路中电容C的电流等于流过电阻R的电流
输出电压与电容上电压的关系为 uo=-u
c
而电容上电压等于其电流的积分,故
在求解t1到t2时间段的积分值时
式中 为积分起始时刻的输出电压,即积分运算的起始值,积分的终值
是t2时刻的输出电压。
当uI为常量时,输出电压
在求解t1到t2时间段的积分值时
式中 为积分起始时刻的输出电压,即积分运算的起始值,积分的终值
是t2时刻的输出电压。
当uI为常量时,输出电压
当输入为方波时,则输出电压波为三角波。若改变占空比,即能得到我们所
要的锯齿波波形。
5.1.2 直流偏置
由设计要求知,要输出±10V直流偏置,利用求和运算电路,调节合适的阻
值,就可得到偏置的效果,因此选择多个直流电压输入,达到求和的目的。
5.2 整体电路工作原理
根据交流电变成直流电的原理,该设计问题按先后顺序可分为锯齿波发生器(比
较器、积分器)、直流偏置(同向求和)电路,其流程图如图1所示。
图 1 流程图
5.3整机电路性能分析
本次设计对迟滞比较器的门限电压进行了估算,给出了迟滞比较器的传输特性曲
线,分析了锯齿波发生器的工作原理,推导了锯齿波的幅度及振荡周期的计算公
式,所设计的以集成运放电路的非线形应用为核心的幅度频率可调的锯齿波发生
器可实现输出的波形工作频率范围0.02Hz~1kHz连续可调;方波幅值±10V;波
峰峰值20V;各种输出波形幅值均连续可调。基本达到设计要求。
六、结论与心得
七、参考文献
[1] 华成英、模拟电子技术基本教程(293~303页);清华大学出版社