EDA设计基础.ppt

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA( Electronic Design Automatic ,电子设计自动化) EDA技术: 就是以大规模可编程逻辑器件为设计载体,以硬件描述
语言为系统逻辑描述的主要表达方式,以计算机、大规 模可编程逻辑器件的开发软件及实验开发系统为设计工 具,通过有关的开发软件,自动完成用软件的方式设计 的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分 割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至 对于特定目标芯片的适配编译、逻辑映射、编程下载等 工作,最终形成集成电子系统或专用集成芯片的一门新 技术。
称为位。 定义: 数字系统是仅仅用数字来“处理”信息以实现计算和操
作的电子网络。 由于只使用0和1来完成所有的计算和操作,所有数字系
统的设计实际上是相当复杂的。特别地,数字系统必须 完成如下任务:
1.数字系统概述(续)
将现实世界的信息转换成数字网络可以理解的二 进制“语言”
仅用数字0和1完成所要求的计算和操作。 将处理的结果以我们可以理解的方式返回给现实
2.3 EDA技术的发展过程(续)
20世纪70年代的计算机辅助设计CAD阶段
是EDA技术发展初期 面向LSI或印刷板电路的配置和连线的CAD20世纪80年代的计算机
辅助工程设计CAE阶段
电路图编辑和仿真的CAE系统
CAD工具代替了设计工作中绘图的重复劳动,CAE工具则代替了设 计师的部分工作,对保证电子系统的设计,制造出最佳的电子产品 起着关键的作用。到了80年代后期,EDA工具已经可以进行设计描 述、综合与优化和设计结果验证,CAE阶段的EDA工具不仅为成功 开发电子产品创造了有利条件,而且为高级设计人员的创造性劳动 提供了方便。但是,大部分从原理图出发的EDA工具仍然不能适应 复杂电子系统的设计要求,而具体化的元件图制约着优化设计。
ASIC
可编程门阵列
约束条 件
六进制计数器的行为描述
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY counter IS PORT(
clk:IN STD_LOGIC; rs:IN STD_LOGIC; count_out:OUT STD_LOGIC_VECTOR(2 DOWNTO0)); END counter; ARCHITECTURE behav OF counter IS SIGNAL next_count:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN IF rs=‘0’ THEN next_count<=“000”; ELSIF (clk’EVENT AND clk=‘1’) THEN
3.3 VHDL语言的特点
允许采用不同的设计方法和描述风格。 Top_down,Bottom_up
工艺无关(Technology Independent) 抽象层次多(Behavioral/RTL/Logic) 易于交流,便于管理 描述灵活 促使其他标准的形成(WAVES,VITAL,
EDA系统 专用系统 通用系统
第一部分 数字系统EDA概述
1.数字系统的概述(二进制数制、单元、层次) 2. EDA概述(EDA的概念) 3.VHDL语言概述 4.EDA工具概述
3. VHDL语言概述
3.1 VHDL语言的发展历史 3.2 VHDL语言的进展 3.3 VHDL语言的特点 3.4 数字系统硬件设计方法 3.5 利用VHDL语言设计硬件的优点
Q2
Q1
Q0

计数脉冲 前一状态 当前状态 前一状态 当前状态 前一状态 当前状态
1
0
0
0
0
0
1
2
0
0
0
1
1
1
3
0
1
1
1
wk.baidu.com
1
1
4
1
1
1
1
1
0
5
1
1
1
0
0
0
6
1
0
0
0
0
0
Q2、Q1输出和Q0的J、K输入关系表
触发器状 Q2
Q1

计数脉冲 前一状态 前一状态
J
1
0
0
1
2
0
0
1
3
0
1
0
4
1
1
0
5
1
1
0
6
1
Analog VHDL)
VHDL语言和其它高级语言的区别
(1)高级语言描述的是数字模型(算法)和控制动作, 控制行为。而VHDL语言所描述的是硬件电路的功能:
接口信号 电路行为和功能 电路与电路之间的连接关系
(2) 高级语言最终目的是实现希望的控制流而VHDL语 言最终目的是要造成硬件电路。
2.1 什么是EDA(续)
利用EDA技术进行电子系统的设计,具有以下几个特点:
– (1) 用软件的方式设计硬件; – (2) 用软件方式设计的系统到硬件系统的转换是由有关的开发
软件自动完成的; – (3) 设计过程中可用有关软件进行各种仿真; – (4) 系统可现场编程,在线升级; – (5) 整个系统可集成在一个芯片上,体积小、功耗低、可靠性
第一层次 行为描述
对整个系统的数学模型的描述
第二层次 RTL方式描述
寄存器传输描述(数据流描述),更趋于实际电路的描述
第三层次 逻辑综合
利用逻辑综合工具将RTL方式描述的程序转换成用基本逻辑 元件表示的文件(门级网络表)
自上而下设计
根据技术要求
用HDL语言描述 电路功能和行为
系统仿真
逻辑综合仿真
(3) VHDL语言的语句很多是并发语句。 据此,尽管VHDL语言很多地方与高级语言相似,但它
们之间却有较大差异。
VHDL与Verilog语言的比较
一般的硬件描述语言可以在三个层次上进行电路 描述:行为级、RTL级和门电路级。
VHDL语言通常更适合于行为级和RTL级的描 述,是一种高级描述语言,适用于电路高级建模
电路设计
采用3个触发器连接产生8个状态,六进制约翰逊计数器 只有6个状态,将其中的010和101两种状态要禁止掉。
六进制状态移图如图所示。
000
Q2Q1Q0
100
001
110
011
111
传统设计方法
根据技术要求
选择相应元器件
画出电原理图
画出印刷板
有错
装配调试
正确
设计完成
触发器状态变化表
触发器状
3.4 数字系统硬件设计方法(续)
利用硬件描述语言HDL的硬件电路设计方法
– 自上而下的设计方法 – 系统中可采用ASIC芯片 – 采用系统早期仿真 – 降低了硬件电路设计难度 – 主要设计文件用HDL语言程序编写
设计举例
六进制约翰逊计数器的设计 传统的设计方法 采用VHDL语言设计
六进制约翰逊计数器的设计
数字系统EDA设计基础
计算机与信息学院
课程安排和课程目标
课程安排:
– 总学时 16周 64学时(理论32,实验32) – 1~8周 课堂学习 9 ~16周 上机实验
课程目标:
– 掌握EDA技术的基本概念,了解前端EDA软件的基本 原理
– 熟练掌握VHDL语言,能用VHDL语言设计简单的电 路
– 基本熟悉EDA软件的使用
课程主要内容
第一部分 第二部分 第三部分 第四部分
数字系统EDA概述 硬件描述语言VHDL语法基础 基本电路的VHDL语言设计 仿真、综合,目前的EDA相关技术
课程考核
期末笔试考核 60% 作业、上机实验报告 30% 课堂情况 10%
参考文献
《VHDL程序设计》曾繁泰 陈美金著 清华大学出版社 《VHDL语言100例详解》北京理工大学ASIC硬
自顶向下设计 自底向上设计
第一部分 数字系统EDA概述
1.数字系统的概述(二进制数制、单元、层次) 2. EDA概述 3.VHDL语言概述 4.EDA设计流程及工具概述
2. EDA概述
2.1 什么是EDA 2.2 EDA技术出现的背景 2.3 EDA技术的发展过程
2.1 什么是EDA
0
0
Q0
K
前一状态 当前状态
0
0
1
0
1
1
0
1
1
1
1
0
1
0
0
0
0
0
画原理图
≥1
Q0
Q1
Q2
Jq
dq
dq
clk
&
K
clk
R
clk
clk rs
画印制板 制板 装配 调试 返工
自上而下的设计方法(VHDL方法)
自上而下的设计方法:
从系统总体要求出发,自上至下地逐步将设计内容细化,最 后完成系统硬件的整体设计。在利用HDL的硬件设计方法中, 设计者将自上而下分成3个层次对系统硬件进行设计。
单元的输入和输出点称为 端口,单元通过端口同其 他单元相连。
1.2单元和层次
单元间的互连用表示信号流向路径的连线来表示。
单元A X
X 单元A
单元1
A0 A1 A2 A3
单元2
D0 D1 D2 D3
1.2单元和层次
层次 用单元作为构造模块的概念称为层次设计。此概
念为我们分析和设计复杂的数字系统提供了一种 构造方法 数字网络的设计有两个不同的方法:
究所 清华大学出版社 《数字系统设计基础教程》(美)John
P.Uyemura著 陈怒兴等译 机械工业出版社
第一部分 数字系统EDA概述
第一部分 数字系统EDA概述
1.数字系统的概述 2.电子设计自动化EDA概述 3.VHDL语言概述 4.EDA工具概述
1. 数字系统概述
1.1 什么是数字系统 二进制数制系统只使用0和1两个数字。这些二进制数字
六进制计数器的行为描述(续)
CASE next_count IS WHEN “000”=>next_count<=“001”; WHEN “001”=>next_count<=“011”; WHEN “011”=>next_count<=“111”; WHEN “111”=>next_count<=“110”; WHEN “110”=>next_count<=“100”; WHEN “100”=>next_count<=“000”; WHEN OTHERS=>next_count<=“XXX” END CASE END IF; Count_out<=next_count AFTER 10ns; END PROCESS; END behav;
Verilog通常只适合于RTL级和门电路级的描 述,是一种较低级的描述语言,最适于描述门级 电路,易于控制电路资源.
VHDL语言入门相对稍难,但设计效率较高
3.4 数字系统硬件设计方法
传统的硬件设计方法 其主要特征:
– 采用自下而上的设计方法 – 采用通用的元器件 – 系统硬件在后期进行调试和仿真 – 主要设计文件是电原理图
高。
因此,EDA技术是现代电子设计的发展趋势。
2.2 EDA技术出现的背景
1. 市场需求:
数字电路容量大,体积小,重量轻,保密程序好 品种繁多,批量小,设计周期短,便于重复使用 产品竞争力激烈 硬件、软件协调设计需要硬件描述语言支持
2. EDA支持技术已成熟
硬件描述语言(HDL)日趋完善 计算机及CAD软件发展迅速,为EDA带来了现实的应用前景。
六进制计数器的RTL方式描述
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL ENTITY counter IS PORT(clk,rs:IN STD_LOGIC;
2.3 EDA技术的发展过程
计算机辅助设计(Computer Assist Design,CAD) 计 算 机 辅 助 工 程 设 计 ( Computer Assist
Engineering Design,CAE) 电 子 设 计 自 动 化 ( Electronic Design
Automation,EDA)
世界。
1.2单元和层次
为构成数字系统,我们采用单元(cell)的基本构 造模块。
采用逻辑图来表示数字网络所使用的单元及单元 连结关系。
用小的单元构成具有较复杂功能的较大的单元, 产生较大的数字系统。
1.2单元和层次
单元的概念
A
B
单元
C
F(A,B,C)
以二进制变量A、B和C为 输入,而产生的输出函数 为f(A,B,C)。
VHDL语言
VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit 硬件描述语言
可以描述硬件电路的功能、信号连接关系及定时关系 的语言
3.1 VHDL语言的发展历史
3.2 VHDL语言的进展
2.3 EDA技术的发展过程(续)
20世纪90年代电子系统设计自动化EDA阶段
为了满足千差万别的系统用户提出的设计要求,最好的办法 是由用户自己设计芯片,让他们把想设计的电路直接设计在 自己的专用芯片上。微电子技术的发展,特别是可编程逻辑 器件的发展,使得微电子厂家可以为用户提供各种规模的可 编程逻辑器件,使设计者通过设计芯片实现电子系统功能。 EDA工具的发展,又为设计师提供了全线EDA工具。
相关文档
最新文档