数字电路实验二--译码器实验报告

数字电路实验二--译码器实验报告

译码器实验是数字电路实验课程的重要组成部分。本次实验旨在介绍译码的基本原理,并取得实际的实验效果。

本次实验使用的译码器类型是双向双回路译码器。它可以将2位二进制输入转换为4

位二进制数字代码输出。它是由基础译码单元(BCD)和其它外部电路组成的,可以根据

二进制输入状态产生正确的十进制输出。此外,本次实验使用了按钮、LED、模拟电路、

小灯丝等部件来实现所涉及的功能。

实验分为以下几步:首先需要将所有的组成部件组装在原理图的对应接口中;其次根

据原理图上的接口,安装电源组件;然后根据电路要求,按钮和灯丝等部件的位置应该有

所区别;紧接着,根据原理图的线路图,将按钮和LED的铜丝焊接到对应接口处。最后,

根据实验要求,连接模拟电路,测试结果是否符合实验要求。

在实验过程中,本实验室使用了一台OMRON译码器,根据二进制输入状态,它可以产

生4位十进制输出状态。实验结果显示,在每种二进制输入状态下,OMRON译码器都可以

成功实现预期的输出,从而证明了译码器的良好性能及高精度。

总的来说,本次实验的主要任务是译码的基本介绍,以及掌握OMRON译码器的使用方法。实验过程既充满乐趣,也有所收获。让我们有机会贴近电子工程实践,掌握各种技术,扩充知识。这次实验是一次有趣又有意义的学习体验。

译码器 实验报告

译码器实验报告 译码器实验报告 引言: 在现代科技的发展中,计算机和电子设备扮演着重要的角色。而在这些设备中,译码器是一种关键的元件,它能够将数字信号转换为可读的信息,使得我们能 够更好地理解和操作这些设备。本实验旨在探究译码器的工作原理以及其在电 子领域中的应用。 一、译码器的基本原理 译码器是一种数字电路,其作用是将输入的数字信号转换为对应的输出信号。 它通常由多个逻辑门组成,根据不同的输入组合产生不同的输出。译码器可以 分为德州仪器(TI)码译码器、BCD-7段译码器等多种类型。 二、实验步骤 1. 实验材料准备:准备所需的译码器芯片、电路板、电源等材料。 2. 连接电路:根据实验指导书上的电路图,将译码器芯片与电路板上的其他元 件进行连接。 3. 设置电源:将电源接入电路板,确保电路正常工作。 4. 输入信号:通过拨动开关或其他输入设备,将数字信号输入到译码器中。 5. 观察输出:观察译码器的输出状态,记录并分析不同输入组合对应的输出结果。 三、实验结果 通过实验,我们得到了以下几个重要的实验结果: 1. 不同的输入信号组合会导致译码器产生不同的输出信号。

2. 译码器的输出信号可以直接连接到其他电子设备中,实现数字信号的解码和显示。 3. 译码器的输出信号可以通过适当的电路设计和调整,实现各种复杂的功能。 四、实验分析 译码器在电子领域中有着广泛的应用。它可以用于数码管的显示、LED灯的控制、数码电路的设计等方面。通过将数字信号转换为可读的信息,译码器为我们提供了更方便、更直观的操作方式。 此外,译码器还可以与编码器相结合,实现信息的双向转换。编码器将输入的信息转换为数字信号,而译码器则将数字信号转换为对应的输出信息。这种编码-解码的过程在许多通信系统中起着重要的作用,如数字音频、视频传输等。 五、实验总结 通过本次实验,我们深入了解了译码器的工作原理和应用。译码器作为一种重要的数字电路元件,为我们提供了数字信号解码的功能,使得我们能够更好地理解和操作电子设备。在今后的学习和工作中,我们将继续深入研究和应用译码器,以推动电子技术的发展和创新。 结语: 译码器作为一种关键的数字电路元件,在现代科技中扮演着重要的角色。通过将数字信号转换为可读的信息,译码器为我们提供了更直观、更方便的操作方式。通过本次实验,我们对译码器的工作原理和应用有了更深入的了解。在今后的学习和工作中,我们将继续探索和应用译码器,以推动电子技术的发展和创新。

译码器的设计实验报告

译码器的设计实验报告 译码器的设计实验报告 引言: 译码器是数字电路中的一种重要组件,其功能是将输入的数字信号转换为相应 的输出信号。本实验旨在设计一个基于逻辑门的4-16译码器,并通过实际电路搭建和测试,验证其正确性和可靠性。 一、实验目的 本实验的主要目的是设计并实现一个4-16译码器,通过输入4位二进制数,输出对应的16位输出信号。通过实验,我们将掌握译码器的基本原理和设计方法,并了解其在数字电路中的应用。 二、实验原理 译码器是一种多输入多输出的组合逻辑电路,它的输入信号通过逻辑门的组合,控制输出信号的产生。在本实验中,我们将使用74LS138芯片来实现4-16译 码器的设计。 三、实验器材和电路图 实验器材: 1. 74LS138芯片 2. 逻辑门电路板 3. 连接线 4. 电源 电路图: (此处可以插入电路图,但不能包含网址链接)

四、实验步骤 1. 将74LS138芯片插入逻辑门电路板上的相应插槽中,并确保插入正确。 2. 将电源连接到逻辑门电路板上的相应接口,确保电路板正常供电。 3. 使用连接线将74LS138芯片的输入引脚与逻辑门电路板上的开关连接,模拟输入信号。 4. 使用连接线将74LS138芯片的输出引脚与逻辑门电路板上的LED灯连接,观察输出信号。 5. 逐个改变输入引脚的状态,观察输出引脚和LED灯的变化情况。 6. 记录每个输入信号对应的输出信号,以验证译码器的正确性。 五、实验结果与分析 通过实验,我们得到了每个输入信号对应的输出信号,并进行了验证。实验结果表明,设计的4-16译码器能够准确地将输入的4位二进制数转换为相应的16位输出信号。这验证了译码器的正确性和可靠性。 六、实验总结 本实验通过设计和实现一个4-16译码器,加深了我们对译码器的理解和应用。通过实际操作和观察,我们验证了译码器的正确性和可靠性。译码器在数字电路中有着广泛的应用,对于数据处理和信号转换起着重要作用。 七、实验心得 通过本次实验,我深刻认识到了译码器在数字电路中的重要性。译码器的设计和实现需要考虑多个因素,如输入信号的编码方式、输出信号的逻辑关系等。在实验过程中,我不仅学会了如何使用74LS138芯片来实现译码器的设计,还加深了对逻辑门的理解和应用。

数字电路实验报告-译码器及其应用

电学实验报告模板 实验原理 1. 译码器 (1)2线-4线译码器 图1 2线-4线译码器及其逻辑 图1所示为2线-4线译码器及其逻辑。与4线-2线编码器相比较,可以把“译码”视为“编码”的逆过程。该译码器的特点是:对于任何一个输入二进制码,四个输出端中,只有一个为“1”,其它输出端均为“0”。每一个输入二进制码,都与一个特定的输出端相对应。不同的输入码各对应不同的输出端。 译码器的逻辑功能也可以理解为把每一个输入二进制码翻译成另外一个代码。具体到图1所示2线-4线译码器,则是把每一个输入二进制码翻译成另外一种四位码,每一个四位码中都只有一个“1”。 由图1(b)可得到编码器逻辑函数式为 (2)3线-8线译码器74LS138

图2 3线-8线编码器74LS138及其逻辑 图2所示为集成电路芯片3线-8线编码器74LS138及其逻辑。和是译码输入端,是译码输出端。和为译码使能控制端。当,时,译码器处于正常译码工作状态;否则,译码器被禁止,此时,所有的输出端全部为“1”,无任何输出端为“0”。这3个使能控制端,也称为“片选”输入端,利用其“片选”作用可以将多片译码器连接起来,以扩展译码功能。 当,时,译码器的逻辑函数式为 (3)七段显示译码器

图3 七段显示译码器及其逻辑 图3所示为七段显示译码器。和是输入的BCD代码,表示输出的7位二进制代码。输出代码中的“1”表示所对应的数码管线段点亮,“0”则表示熄灭。图3(b)列出了BCD码“0000~1001”十种状态与之间的对应关系,还列出了输入码“1010~1111”六种状态与之间的对应关系及所显示的字形。 由图3(b)可得到该编码器逻辑函数式为

数字电路实验2 译码器编码器

实验二 译码器、编码器及其应用 一、实验目的 1. 掌握中规模集成译码器、编码器的逻辑功能和使用方法。 2. 熟悉数码管的使用。 二、实验原理 译码器是一个少输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和专用译码器两大类。前者又分为变量译码器和代码变换译码器。 a . 变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线—4线、3线—8线和4线—16线译码器。若有n 个输入变量,则有2n 个不同的组合状态,就有2n 个输出端供其使用。而每个输出所代表的函数对应于n 个输入变量的最小项。 以3线—8线译码器74LS138为例进行分析,图9—1 分别为其逻辑图及引脚排列。 其中2A 、1A 、0A 为地址输入端,0Y ~7Y 为译码输出端,1S 、2S 、3S 为使能端。 3 21S S S A0 A1 A2

图9-1 3—8线译码器74LS138逻辑图及引脚排列 表9-1为74LS138功能表,当11=S ,032=+S S 时,器件使能,地址码所指定的输出有信号(为0)输出,其他所有输出端均无信号(全为1)输出。当01=S ,X S S =+32时,或X S =1,132=+S S 时,译码器被禁止,所有输出同时为1。 表9-1 A0 A1 A2S3 S2 S1 Y 7 GND

(以下删除若干行)。 b.数据显示译码器 七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,(删除若字)。 一个LED数码管可用来显示一位0~9十进制和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器(一般有74LS248),该译码器不但要完成译码功能,还要有相当的驱动能力。 c.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是和译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类:二进制编码器;二-十进制编码器(如74LS147);优先编码器(74LS148)。 三、实验内容及步骤 1.译码器实验 ①将二进制2-4线译码器74LS139,及74LS138二进制3-8线译码器分别插入实验系统IC空插座中。 按图9-2接线,输入G、A、B信号,观测LED输出Y0、Y1、Y2、Y3地状态,并将结果填入表1.5.1中。 图9-2 74LS139 2-4线译码器实验线路 按图9-3接线,输入G1、G2A、G2B、A、B、C信号,观测LED输出Y0~Y7。使能信号G1、G2A、G2B满足表1.5.2条件时,译码器选通。

实验二--译码器及其应用

计算机科学与工程学院 数字电路实验报告 专业__软件工程_班级20111431 姓名__王金华____学号___50___ 实验二译码器及其应用 一、实验目的 1. 掌握 3 -8 线译码器、4 -10 线译码器的逻辑功能和使用方法。 2. 掌握用两片 3 -8 线译码器连成 4 -16 线译码器的方法。 3. 掌握使用 74LS138 实现逻辑函数和做数据分配器的方法。 二、实验仪器和器材 1、数字逻辑电路实验箱。 2、数字逻辑电路实验箱扩展板。 3、数字万用表、双踪示波器。 4、芯片74LS138(两片)、74LS42、74LS20 各一片。 三、实验原理 译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控 制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。下图表示二进制译码器的一般原理图:

2个输出端和一个使能输入端。在使能输入端为有效电平时,它具有n 个输入端,n 对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n 个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8 线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。另外它还有三个使能输入端E1、E2、E3。它的引脚排列见图4-2,功能表见表4-1。 2、4-10 线译码器74LS42 它的引脚排列见图4-3,功能表见表4-2。

实验二--译码器及其应用

X0 X1 . . . n-1 Y0 Y1 . . . Y2^n-1二进制 译码器 计算机科学与工程学院 数字电路实验报告 专业__软件工程_班级20111431 姓名__王金华____学号___50___ 实验二译码器及其应用 一、实验目的 1. 掌握 3 -8 线译码器、4 -10 线译码器的逻辑功能和使用方法。 2. 掌握用两片 3 -8 线译码器连成 4 -16 线译码器的方法。 3. 掌握使用 74LS138 实现逻辑函数和做数据分配器的方法。 二、实验仪器和器材 1、数字逻辑电路实验箱。 2、数字逻辑电路实验箱扩展板。 3、数字万用表、双踪示波器。 4、芯片74LS138(两片)、74LS42、74LS20 各一片。 三、实验原理 译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控 制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。下图表示二进制译码器的一般原理图:

2个输出端和一个使能输入端。在使能输入端为有效电平时,它具有n 个输入端,n 对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n 个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8 线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。另外它还有三个使能输入端E1、E2、E3。它的引脚排列见图4-2,功能表见表4-1。 2、4-10 线译码器74LS42 它的引脚排列见图4-3,功能表见表4-2。

译码器的应用实验报告

译码器的应用实验报告 译码器的应用实验报告 一、引言 译码器是数字电路中常见的一个组件,它用于将输入的编码信号转换 为特定的输出信号。在本实验中,我们将研究译码器的应用,并通过 实验来验证其功能和性能。 二、实验目的 1. 理解译码器的工作原理和基本功能。 2. 掌握使用译码器进行编码信号转换的方法。 3. 验证译码器在不同应用场景下的性能。 三、实验材料和方法 1. 实验材料:译码器芯片、逻辑门芯片、示波器、数字信号发生器等。 2. 实验步骤: a) 连接电路:根据实验要求,将译码器芯片和逻辑门芯片连接到电 路板上。 b) 设置输入信号:使用数字信号发生器生成不同编码信号作为输入。 c) 观察输出信号:使用示波器观察输出信号,并记录结果。 d) 分析数据:根据观察到的输出信号,分析译码器在不同输入条件 下的性能。 四、实验结果与分析 1. 实验一:二进制到十进制转换

a) 设置输入信号为二进制数0~15。 b) 观察输出信号,记录译码器将二进制数转换为对应的十进制数的 结果。 c) 分析结果:根据观察到的输出信号,验证译码器的转换功能是否 正确。 2. 实验二:BCD码到七段数码管显示 a) 设置输入信号为BCD码0~9。 b) 观察输出信号,将其连接到七段数码管上进行显示。 c) 分析结果:根据观察到的七段数码管显示结果,验证译码器将BCD码转换为对应数字的功能是否正确。 3. 实验三:地址译码 a) 设置输入信号为不同的地址编码。 b) 观察输出信号,记录译码器将地址编码转换为特定输出端口的结果。 c) 分析结果:根据观察到的输出信号,验证译码器在地址译码方面 的性能和准确性。 五、实验总结 通过本次实验,我们对译码器的工作原理和应用有了更深入的理解。 实验结果表明,在不同应用场景下,译码器能够有效地将输入编码信 号转换为特定的输出信号。然而,在实际使用中还需要注意一些问题,如输入电压范围、输入时序要求等。在设计和使用中需要仔细考虑这 些因素,以确保译码器的正常工作和性能。

译码器实验报告

译码器实验报告 一、实验目的 本实验旨在让学生了解译码器的基本原理和使用方法,掌握译码器在 数字电路中的应用。 二、实验原理 1. 译码器的定义 译码器是一种将数字信号转换为特定输出信号的数字电路,其输入为 n位二进制数,输出为m位二进制数。其中n和m可以相等,也可以不相等。 2. 译码器的分类 按照输出类型可分为:二进制译码器、BCD译码器、十六进制译码器等;按照输入类型可分为:通用型译码器和专用型译码器。 3. 74LS138三-八线译码器 74LS138是一种常见的三-八线译码器,它具有三个输入端(A0、A1、A2)和八个输出端(Y0~Y7)。当输入端口接收到对应的二进制编码时,对应的输出端口会产生低电平信号。 4. 实验装置

本次实验所使用的装置包括:74LS138三-八线译码器、LED灯、电路板、杜邦线等。 三、实验步骤 1. 搭建基础电路 将74LS138三-八线译码器插入电路板上,并连接电源。接着将LED 灯连接到输出端口上,通过杜邦线连接到电路板上。 2. 连接输入信号 将A0、A1、A2三个输入端口分别连接到三个开关上,并将开关连接到电路板上。 3. 验证实验结果 打开电源,打开三个开关,观察LED灯的亮灭情况。根据74LS138的真值表可以验证输出是否正确。 四、实验结果分析 通过本次实验,我们成功搭建了74LS138三-八线译码器电路,并成功验证了其输出是否正确。在实际应用中,译码器常用于数字显示、地址译码等方面。 五、实验注意事项 1. 操作时要注意正负极的连接,避免短路或损坏元件。

2. 操作前应检查元件是否损坏或老化。 3. 在操作过程中要注意安全,避免触电等危险事件发生。 六、总结 本次实验让我们更加深入地了解了译码器的基本原理和使用方法,并掌握了其在数字电路中的应用。通过手动操作验证真值表结果,我们对数字逻辑的理解也更加深入。

译码器及应用实验报告

译码器及应用实验报告 译码器及应用实验报告 引言: 在现代科技的发展中,数字电子技术发挥着至关重要的作用。而译码器作为数 字电子技术中的一种重要元件,被广泛应用于各种电子设备中。本次实验旨在 通过实际操作,深入了解译码器的原理、工作方式以及应用领域。 一、实验目的 本次实验的主要目的是掌握译码器的工作原理,并通过实际应用的方式加深对 译码器的理解。同时,通过实验,我们还能够了解译码器在数字电子技术中的 广泛应用。 二、实验原理 1. 译码器的定义 译码器是一种将输入信号转换为输出信号的数字电路。它可以将不同的输入组 合转换为特定的输出信号,从而实现信息的解码。 2. 译码器的工作原理 译码器的工作原理可以简单地理解为将不同的输入信号映射到特定的输出信号。它通过内部的逻辑门电路实现这一转换过程。常见的译码器有BCD译码器、二 进制译码器等。 3. 译码器的应用领域 译码器广泛应用于数字电子技术领域,特别是在数字系统中。它可以用于将数 字信号转换为特定的控制信号,从而实现各种功能。例如,译码器可以用于将 二进制代码转换为七段数码管的控制信号,实现数字显示。

三、实验步骤 1. 实验器材准备 本次实验所需的器材包括译码器芯片、数字信号发生器、示波器等。 2. 连接电路 根据实验要求,将译码器芯片与其他器材进行连接。确保连接正确无误后,接 通电源。 3. 发送输入信号 通过数字信号发生器,发送不同的输入信号给译码器芯片。观察输出信号的变化,并记录实验数据。 4. 数据分析 根据实验数据,分析输入信号与输出信号之间的关系。探究译码器的工作原理,并进一步了解其应用领域。 四、实验结果与讨论 通过实验,我们成功地观察到了译码器的工作过程,并记录了输入信号与输出 信号的变化情况。通过对实验数据的分析,我们可以清晰地了解到译码器的工 作原理以及其在数字电子技术中的应用。 译码器作为数字电子技术中的重要元件,广泛应用于各种电子设备中。例如, 它可以用于将二进制代码转换为七段数码管的控制信号,实现数字显示;它还 可以用于将输入的BCD码转换为相应的控制信号,实现BCD码的解码。译码 器的应用范围非常广泛,几乎涵盖了数字电子技术的各个领域。 五、实验总结 通过本次实验,我们深入了解了译码器的工作原理,并通过实际应用的方式加

译码器实验报告

实验2 译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”, 变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途, 不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。 不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码 器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线 和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端 供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列。 其中A2、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。 表5-6-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出 端均无信号(全为1)输出。当S1=0,2S+3S =X时,或S1=X,2S+3S=1时,译码 器被禁止,所有输出同时为1。 )

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 2 LED ,(c)一个LED .3

译码器及其应用实验报告

实验二译码器及其使用 一.实验目的 1.掌握译码器的测试方法。 2.了解中规模集成译码器的管脚分布,掌握其逻辑功能。 3.掌握译码器构成组合电路的方法。 4.学习译码器的扩展。 二.实验设备及器件。 1.数字逻辑电路实验板1块。 2.74HC(LS)20(四二输入与非门)一片。 3.74HC(LS)138(3-8译码器)二片。 三.实验原理 1.74HC(LS)138 是集成3 线-8 线译码器,在数字系统中应用比较广泛。下图是其引脚排列,其中A2、A1、A0 为地址输入端,~为译码输出端,S 1、2、3 为使能端。下表为74HC(LS)138 功能表。74HC(LS)138 工作原理为:当S 1=12+3=0 时,电路完成译码功能,输出低电平有效。其中: 因为74HC(LS)138的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项(的非),因此可以利用八条输出线组合构成三变量的任意组合电路;其输出低电

平有效。 2.实验用器件管脚介绍: 74HC(LS)20(二四输入与非门)管脚如下图所示。 四.实验内容 1.逻辑功能测试 将输出端接到发光二极管上,然后从000~111依次输入译码器,然后改变输出线与8个端口的链接,探索发光规律。如:当输入为010时(A2=0,A1=1,A0=0),输出线接在Y2(非)时发光,即其输出为低电平。 2. 用74HC(LS)138实现逻辑函数(基本命题) Y=AB+BC+CA 由k 图知: Y=m3+m5+m6+m7=Y3*Y5*Y6*Y7———— 所以在译码器上有ABC=A2A1A0,而在译码器的输出端,将Y3,Y5,Y6,Y7————接到四二与非门的输入端,四二与非门的输出端接入发光二极管即可完成逻辑电路。由于LED 是低电平有效,所以选中时Y 输出高电平,LED 反而不发光,未选中时LED 灯发光。 3、扩展(扩展命题)

数字电路实验二--译码器实验报告

深圳大学实验报告实验课程名称:数字电路与逻辑设计实验项目名称:译码器 学院:专业: 报告人:学号:班级:同组人: 指导教师: 实验时间: 实验报告提交时间:

实验报告包含内容 一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试74LS138逻辑功能 将一片74LS138译码器插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。

二-十进制译码器实验报告

竭诚为您提供优质文档/双击可除二-十进制译码器实验报告 篇一:实验二译码器及其应用 实验二译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),以3线-8线译码器74Ls138为例。其中A 2、A1、A0为地址输入端,Y0~Y7为译码输出端,s1、s2、s3为使能端。 (a)(b) 图6-13-8线译码器74Ls138逻辑图及引脚排列 表6-174Ls138功能表

二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是 Z=Abc?Abc?Abc+Abc 2、数码显示译码器 a、七段发光二极管(LeD)数码管 (a)共阴连接(“1”电平驱动)(b)共阳连接(“0”电平驱动) (c)符号及引脚功能 图6-5LeD数码管 b、bcD码七段译码驱动器 此类译码器型号有74Ls47(共阳),74Ls48(共阴),cc4511(共阴)等,本实验系采用cc4511bcD码锁存/七段译码/驱动器。驱动共阴极LeD数码管。 图6-6为cc4511引脚排列 其中图6-6cc4511引脚排列A、b、c、D—bcD码输入端 a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LeD数码管。 LT—测试输入端,LT=“0”时,译码输出全为“1” 消隐输入端,bI=“0”时,译码输出全为“0”bI— Le—锁定端,Le=“1”时译码器处于锁定(保持)状态,译码输出保持在Le=0时的数值,Le=0为正常译码。

译码器实验报告

实验2译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列。 其中 A2、A1 、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。 表5-6-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S =X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。

(b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个

相关主题
相关文档
最新文档