电子钟——合肥工业大学数字逻辑课程设计报告

合集下载

数字逻辑电子钟

数字逻辑电子钟

数字逻辑电子钟运算机科学与工程学院综合设计报告设计名称:数字逻辑综合设计报告设计题目:数字电子钟学生学号:专业班级:学生姓名:学生成绩:指导教师〔职称〕:课题工作时刻:至说明:1、报告中的第一、二、三项由指导教师在综合设计开始前填写并发给每个学生;四、五两项〔中英文摘要〕由学生在完成综合设计后填写。

2、学生成绩由指导教师依照学生的设计情形给出各项分值及总评成绩。

3、指导教师评语一栏由指导教师就学生在整个设计期间的平常表现、设计完成情形、报告的质量及答辩情形,给出客观、全面的评判。

4、所有学生必须参加综合设计的答辩环节,凡不参加答辩者,其成绩一律按不及格处理。

答辩小组成员应由2人及以上教师组成。

5、报告正文字数一样应许多于5000字,也可由指导教师依照本门综合设计的情形另行规定。

6、平常表现成绩低于6分的学生,取消答辩资格,其本项综合设计成绩按不及格处理。

7、此表格式为武汉工程大学运算机科学与工程学院提供的差不多格式〔适用于学院各类综合设计〕,各教研室可依照本门综合设计的特点及内容做适当的调整,并上报学院批准。

成绩评定表学生姓名:蒋云学号:0905030210 班级:智能科学与技术02班答辩记录表指导教师评语目录摘要 (II)Abstract (II)第一章课题背景〔或绪论、概述〕 (1)1.1 数字电子钟应用 (1)1.2 电子钟的应用前景 (x)第二章设计简介及设计方案论述 (x)2.1 设计原理简介 (x)2.2 设计方案论述 (x)2.3 设计目的概述 (x)第三章详细设计 (x)3.1 实验元件及介绍 (x)3.2 模块的详细设计 (x)3.2.1 二十四时制显示模块3.2.2 校时电路模块3.2.2 整点报时模块3.2.2 闹钟模块第四章设计结果及分析 (x)4.1 设计电路 (x)4.2 运行结果及分析 (x)4.3 结果分析 (x)总结 (x)致谢 (x)参考文献 (x)附录要紧程序代码 (x)摘要【关键词】电子钟、校时电路、比较器、整点报时数学逻辑课程设计选题是电子钟的设计,运用Protues7.5 SP3版仿真软件进行电子钟的设计。

电子钟课程设计报告

电子钟课程设计报告

电子钟课程设计报告一、设计任务和要求设计一个电子钟,要求:1、以十进制数显示时、分、秒;2、具有时、分、秒校准功能二、设计说明1、所需的原件:时钟脉冲、电源+V cc、按钮开关、开关SW、双输入端与门、三输入端与门、双输入端或门、74LS160计数器、74LS49译码器、RESPACK7(做上拉电阻)、七段显示数码管、与非门2、电路设计图如下:3、电路分析:(1)、时钟的分秒为60进制,时为24进制,从上往下排序数码管D1D2组成秒,D3D4组成分,D5D6组成时。

当D1的计数器进位时,RCO输出高电平给D2的计数器的ENP、ENT,因为整个电路都使用同一个时钟信号,因此D2的计数器的ENP、ENT和CLK同时为高电平,因此计数器加一。

同理D2的计数器的进位会使D3是其计数器加一,以此类推,构成时钟。

(2)工作时候把SW断开,按钮开关全部闭上(3)七段显示数码管必须要接上拉电阻才能工作(4)校准功能:吧开关SW闭上,按一下按钮开关AN1,在那时会输出一个高电平,在三端输入与门和时钟信号,接地结果反向的信号相与,输出高电平再或门之后输出高电平使计数器工作一次,即加一。

因此AN1按一下,D1加一,即秒加一。

同理AN2可以校准分,AN3可以校准时。

校准后断开SW让其开始工作。

四、调试(1)把时钟信号频率调成1Hz,开始工作,检查D1到D2进位是否正确(2)把时钟信号频率调成10Hz,开始工作,检查D2到D3进位是否正确(3)把时钟信号频率调成100Hz,开始工作,检查D3到D4进位是否正确(4)把时钟信号频率调成600Hz,开始工作,检查D4到D5进位是否正确把时钟信号频率调成6KHz,开始工作,检查D5到D6进位是否正确,再检查D5 D6是否组成24进制出现的问题:1、若数码管的示数不变,可能是开关SW合上了2、如果各个数码管一起计数,可能是是开关SW合上了,而且按钮开关全部断开了。

3、进位是0—1—2—3……9—19—10—11,则计数器的进位输出连到了下一个个计数器的时钟输入端了。

电子数字钟课程设计报告书

电子数字钟课程设计报告书

数字时钟设计报告设计目的1.掌握数字钟的设计、组装与调试方法。

2.熟悉继承电路的使用方法。

设计容要求基本要求:1.设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能的电子钟。

2.用中小规模集成电路组成电子钟,并在Multisim中进行组装、调试。

3.画出框图和逻辑电路图,写出设计、实验总报告。

扩展功能:1.闹钟系统(上午7点59分发出闹时信号,持续时间为1min)2.整电报时.在59分51秒,53秒,55秒,57秒输出500Hz音频信号,在59分59秒输出1kHz信号,音响持续1秒,在1kHZ音响结束时刻为整点.实验条件装有Mutisim8的电脑数字钟系统设计1.整体框架图2.脉冲产生电路本实验需要1Hz的脉冲,可以用石英晶体振荡器或者555振荡器,它们各有优缺点。

(1)石英晶体振荡器石英晶体振荡器的特点是振荡频率准确,电路结构简单,频率易调整。

电路搭建如图(1)所示,假如使用4MHz的振荡器就可以输出4MHz的脉冲,通过D触发器进行4分频,然后送到10分频计数器,经过6次10分频而获得1Hz的方波信号.脉冲输出脉冲输出图(1) 晶体振荡电路图(2) 555振荡电路(2)555定时器是一种多用途的数字-模拟混合集成电路,可以很方便构成多谐振荡器.只要搭配上合适的电阻R1,R2,电容C1就可以产生所需要的脉冲信号.如图所示,此时产生出来的是1kHz的信号,只要经过3个10进制计数器进行分频即可产生1Hz的脉冲.综合分析考虑上面两种脉冲产生电路,由于在Multisim元件库里面找不到4MHz的晶振,其它晶振很难分频得到1Hz的频率,如果用40MHz的话产生的波形很不稳定.所以最终选择第二种方案,用555定时器来产生脉冲.3.分频器分频器是一个十进制计数器,可以用74LS90来做,根据74LS90的功能表可得到十进制计数器的接法如图(3)输入输出4.计数器在数字钟中有两种计数器,一种是60进制计数器,另一种是24进制计数器.只要改变74LS90的接法,就可以得到这两种计数器.(1)60进制计数器接译码器接译码器进位脉冲脉冲信号“秒”计数器和”分”计数器电路都是60进制,它是由一级10器连接构成,如图所示.采用两片74LS90串接来构成.其中芯片U2是10进制计数器,它的进位作为芯片U1的输入,芯片U1通过QB,QC两根反馈线实现6进制,这是因为当计数器计到0110的时候,R01和R02同时接收到高电平,计数器清零,完成6进制计数.当计数器计数到0101,QA和QC通过与非之后再经过一个非门,产生进位脉冲,送到”分”或”时”的输入端.(2)24进制计数器接译码器接译码器分进位脉冲当”时”个位U2接收到第十个脉冲时,U2计数器清零进位端QD向U1”时”十位输入进位脉冲,当第24脉冲到达时,U2状态为0100,U1状态为0010,此时,R01和R02接收到的都是高电平,使U1和U2都清零,完成24进制计数.5.译码器和显示器74Ls48驱动器是与8421BCD编码器配合使用的七段译码驱动器.译码器和显示器的连接电路如图所示:6.校时电路校时电路如上图所示,其中J2为校正”分”的控制开关,J1为校正”时”的控制开关,当两个开关都断开校时电路不起作用;当J1断开,J2闭合,对”分”进行校正;当J2断开,J1闭合,对”时”进行校正.电容C1,C2的作用是去抖动.扩展功能1.闹钟系统要求闹钟上午7点59分发出闹时信号,持续时间为1min,当然,改变电路结构可以调整闹时的时间.7点59分对应的时十位是(QD QC QB QA)=0000,个位是(QD QC QB QA)=0111;分十位是(QD QC QB QA)=0101,分个位是(QD QC QB QA)=1001.用上述所有输出端为”1”的输出端经过与非之后去控制音响电路,可以使音响电路正好在7点59分响,持续一分钟后停响.所以闹时控制信号Z的表达式为实现上面逻辑的逻辑电路如下图所示,其中74LS20为4输入二与非门,74LS32为2输入四或非门,再经过三极管控制扬声器的导通与截至,这样就可以使扬声器产生1KHz 的声音.分进位秒进位至时计数器个位至分计数器个位1Hz校时脉冲1KHz脉冲2.整点报时整电报时要求在59分51秒,53秒,55秒,57秒输出500Hz音频信号,在59分59秒输出1kHz信号,音响持续1秒,在1kHZ音响结束时刻为整点.分析电路可知,只有当分十位(QD QC QB QA)=0101,分个位(QD QC QB QA)=1001,秒十位(QD QC QB QA)=0101,秒个位QA=1时,音响电路才能工作.整点报时的电路如图所示.数字钟调试在Multisim中,先逐个调试好各个模块,再组装在一起进行整体调试。

电子钟课程设计报告

电子钟课程设计报告

电子钟课程设计报告一、课程目标知识目标:1. 学生能理解电子钟的基本原理,掌握电子钟的组成、工作方式及相关电子元件的功能。

2. 学生能描述电子钟中数字电路的基本逻辑关系,理解时、分、秒的计算方法。

3. 学生了解电子钟的调试与检修方法,掌握基本的时间调整与故障排查技巧。

技能目标:1. 学生能运用所学知识,动手组装简单的电子钟电路,并能正确进行调试。

2. 学生能通过实际操作,学会使用万用表、电烙铁等基本电子工具,培养实际动手能力。

3. 学生能通过团队合作,解决电子钟组装过程中遇到的问题,提高问题分析和解决能力。

情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新意识,提高科学探究的积极性。

2. 学生在团队协作中,学会尊重他人,培养良好的沟通与协作能力。

3. 学生通过学习电子钟的制作过程,认识到时间的宝贵,培养珍惜时间、严谨细致的态度。

课程性质:本课程为实践性较强的电子技术课程,旨在让学生在动手实践中掌握电子钟的基本原理和组装调试技能。

学生特点:五年级学生对电子技术有一定的好奇心,具备基本的动手能力,但需加强对电子元件和电路的理解。

教学要求:注重理论与实践相结合,关注学生的个体差异,引导学生在动手实践中学习,培养其创新思维和团队协作能力。

通过课程目标的具体分解,为后续教学设计和评估提供明确方向。

二、教学内容根据课程目标,本章节教学内容分为以下三个部分:1. 电子钟原理及组成- 介绍电子钟的基本原理,包括时、分、秒的计算方法。

- 电子钟的组成:时钟振荡器、分频器、计数器、显示器等。

- 教材章节:第三章“数字电路基础”及第四章“时钟电路”。

2. 电子元件及其功能- 学习常用电子元件:电阻、电容、二极管、三极管等。

- 电子元件在电子钟中的应用及其功能。

- 教材章节:第二章“常用电子元件”。

3. 电子钟组装与调试- 学习电子钟的组装方法,包括焊接技术、电路连接等。

- 掌握电子钟的调试技巧,如时间调整、故障排查等。

数字电子钟(计时、校时以及整点报时)数电课程设计报告

数字电子钟(计时、校时以及整点报时)数电课程设计报告

设计要求1.用秒脉冲作信号源,构成数字钟,显示秒、分、时2.具有“对时”功能,即时间可以快速预置3.具有整点提示功能。

一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。

系统框图设计过程时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。

整点报时模块电路用的是555芯片和一块CD4068芯片组成的电2路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。

秒信号发生器可以用实验箱上的秒脉冲信号代替。

考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。

电路仿真与设计3.1所需芯片及芯片管脚图CD4518 CD4068CD4002 CD40112CD4069 5553.2时、分、秒显示电路模块设计整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。

上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。

数字逻辑数字时钟课程设计报告

数字逻辑数字时钟课程设计报告

4.2 EWB24 小时计时数字钟基本功能仿真结果 ....................................... 14 4.3EWB 电子钟整体仿真结果 ..................................................... 15 结束语 ........................................................................... 16
选用 EWB 软件,以计算机作为载体。通过使用 EWB 软件,设计实现一个 24 小
第二章
设计总体方案
8
4 计算机学院数字系统课程设计
2.1 总体方案框图
图 2.1 总体方案框图
2.2 设计原理
由 555 定时器构成的振荡器产生稳定的 1Hz 的脉冲信号,作为标准秒脉冲。秒计数
器计 60 后向分计数器进位,分计数器计满 60 后向小时进位,小时计数器设置成 24 进 制计数器,满 24 后清零,重新开始计时。计数器的输出直接送到 LED 显示器。计时出 现误差时可以用校时电路进行校时,校分,校时电路是由一开关接到一个高电位上,当 全按一下开关就传来一个高位脉冲,计数器加一。
2.1 总体方案框图 .............................................................. 4 2.2 设计原理 .................................................................. 4 2.3 元器件的选择及功能分析 ..................................................... 5 2.3.1 选择器件 ............................................................ 5 2.3.2 555 定时器的应用 ..................................................... 5 2.3.3 74290 的应用 ......................................................... 7 2.3.4 与门 ................................................................. 8 第三章 功能模块 ................................................................... 9 3.1 单元电路的设计 ............................................................ 9 3.1.1 秒脉冲发生器......................................................... 9 3.1.2 时间技术单元 ........................................................ 10 3.2 总体设计电路图 ............................................................ 12 第四章 EWB24 小时计时数字钟仿真结果 .............................................. 13

数电课程设计报告(数字钟的设计)

数电课程设计报告第一章设计背景与要求设计要求第二章系统概述2.1设计思想与方案选择2.2各功能块的组成2.3工作原理第三章单元电路设计与分析3.1各单元电路的选择3.2设计及工作原理分析第四章电路的组构与调试4.1遇到的主要问题4.2现象记录及原因分析4.3解决措施及效果4.4功能的测试方法,步骤,记录的数据第五章结束语5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会附图(电路总图及各个模块详图)参考文献第一章设计背景与要求一.设计背景与要求在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

设计一个简易数字钟,具有整点报时和校时功能。

(1)以四位LED数码管显示时、分,时为二十四进制。

(2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。

(3)整点报时采用蜂鸣器实现。

每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。

(4)才用两个按键分别控制“校时”或“校分”。

按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。

二.设计要求电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。

在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践容。

通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法。

即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求。

电子钟课程设计报告

电子钟课程设计报告引言:时间是非常宝贵的资源,人们需要使用时间来安排自己的学习、工作和娱乐等生活活动。

电子钟在现代社会中被广泛使用,它可以清晰、准确地显示时间,并可以帮助人们有效地管理时间。

为了应对市场需求,我们设计了一套名为“电子钟课程”的培训项目,如果您对时间管理感兴趣,那么这个培训课程将非常有用。

一、培训目标:通过本培训课程,学员可以:1.学习电子钟的机构组成及其工作原理。

2.熟练掌握电子钟的使用及调整方法。

3.了解各类电子钟的使用场合以及销售特点,提高销售技巧。

二、培训内容:1.电子钟的类型及市场特点。

2.电子钟的主要组成部分:电源,显示器,指示器,控制器,计数器和计时器等。

3.电子钟的使用方法及调整方法:(1)设置时间和日期。

(2)了解各种显示模式及其用途。

(3)掌握电子钟的调试方法。

(4)学习如何维护、保养和更换电池等。

4.如何销售电子钟:了解市场需求,掌握销售技巧。

(1)了解不同使用场合的不同需求,推荐适合的电子钟。

(2)使用合适的销售技巧,提高销售表现。

三、培训方法:1.培训采用课堂教学和实用操作相结合的方法。

2.电子钟的组成和熟练掌握使用方法,通过教师演示和学员自主操作来完成。

3.实践操作环节:学员需要在电子钟的使用调试及销售过程中进行实践操作并提交实际操作报告。

四、培训评估:1.作业:学员需要在规定的时间内完成作业,并进行批改和评分。

2.期末考试:学员需要参加期末考试,以检测其对课程内容的掌握程度。

3.操作报告:学员需要提交实际操作报告,并由任课老师进行评定。

五、教学资源与评价:1.教学资源:(1)教师:所有授课教师都有丰富的电子钟使用经验,并拥有教学经验。

(2)课程材料:针对课程内容编写的电子版文字、图像、音频和视频材料。

(3)设备工具:提供实验室和工具等必要设备及器材。

2.评价:我们将根据学员的学习情况和成绩来评价教学效果,并进行改进。

六、结语:本课程旨在帮助学员了解电子钟的组成及使用方法、掌握市场需求、通过提高自身销售技巧来提高销售表现。

数字电子钟设计——电子设计课程设计报告

电子技术课程设计报告——数字电子钟设计学院:课程:小组成员:姓名:学号:指导老师:日期:一、选择课题数字电子钟二、选题意义和技术指标及设计要求1、数字电子钟设计的意义:数字电子时钟早已成为人们生活中不可缺少的必需品,广泛用于个人家庭及车站、码头、剧院和办公室等公共场所,给人们的生活、工作、学习以及娱乐带来了极大的方便。

由于数字集成电路技术的发展使数字钟具有走时准确、性能稳定、携带方便等优点。

而且它还用于计时、自动报时及自动控制等各个领域。

数字电子时钟是采用数字电路实现对时、分、秒数字显示的计时装置,数字钟的精度、稳定度远远的超过老式的机械钟表,并且与机械时钟相比具有更高的准确性和直观性,因此具有更广泛的应用。

2、数字电子钟的设计要求1)数字电子钟的最基本计时功能要保证正常计时;2)数字电子钟需要有校时部分以防止走时不准,能做到快速调整时间;3)数字电子钟需要有整点报时功能以提醒整点时间的到来;4)尽量设计电路时要做到简洁人性化,尽量避免复杂的操作。

3、数字电子钟设计的目的1)熟练掌握我们半年来所学习的数字电子技术基础知识;2)通过设计电路,提高对各种集成电路芯片的认识与理解程度;3)熟悉逻辑电路的特点;4)学会熟练使用电路仿真软件如Multisim的使用;5)提高查找电路故障的能力,培养科学严谨的学习习惯。

4、数字电子钟的技术指标1)设计信号发生器并产生1HZ频率的时钟脉冲信号;2)使用7段数码管实现精准的“时”、“分”、“秒”显示计时;3)以24小时为一个循环计数周期;4)具有手动校时功能,可以随时调整时间防止时间走时不准。

三、电路设计原理分析1、整体设计方案数字电子钟是一种时许组合逻辑电路。

原理图如下:该系统由信号发生器、计数器、译码器、显示数码管等几部分组成。

该系统的工作过程是:信号发生器产生稳定的脉冲信号,输出的信号频率为1HZ ,作为数字电子钟的基准信号。

信号发生器产生的每秒一次的方波信号输入到时、分、秒的计数器,秒计数器满60后向分钟计数器进位,分钟计数器计满60后向小时计数器进位,小时计数器以24小时为一个周期循环,满24清零从头开始。

数字逻辑电路课程设计__数字钟

《数字逻辑》课程设计实验报告书题目:数字钟姓名:专业:班级:学号:指导教师:目录一、设计任务要求 (3)二、设计思想及说明 (4)三、设计和实现过程 (4)四、经验、体会总结 (12)五、参考文献 (13)一、设计任务与要求设计任务:设计一个具有整点报时功能的数字钟要求:1、设计一个有“时”、“分”、“秒”(11小时59分59秒)显示且有校时功能的数字钟。

2、有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间。

3、计时过程具有整点报时功能,当时间到达整点前10秒进行报时。

4、用中小规模集成电路组成数字钟,并在实验箱上进行组装、调试。

5、画出框图和逻辑电路图。

功能:1、计时功能:要求准确计时,以数字形式显示时、分、秒的时间。

小时的计时要求为“12翻1”。

2、校时功能:当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。

校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。

为使电路简单,这里只进行分和小时的校时。

对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。

校时方式有“快校时”和“慢校时”两种。

“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。

“慢校时”是用手动产生单脉冲作校时脉冲。

3、仿广播电台整点报时:每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。

二、设计思想及说明数字钟原理框图下图所示,电路一般包括以下几个部分:切换电路、时分秒计数器、校时电路、整点报时电路及星期显示电路。

其基本原理是:秒计数器按“60进制”向分计数器进位,分计数器按“60进制”向时计数器进位,小时计数器按“24进制”规律计数,计数器经译码器送到显示器。

计数出现误差可用校时电路进行校时,校分,并具有可整点报时功能。

电路组成框图:三、设计和实现过程1.各元件功能74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一)设计题目:电子钟二)设计任务和基本要求:1.实验台上的六个数码管显示时、分、秒;2.能使电子钟复位(清零);3.能启动和停止电子钟运行;4.在电子钟停止运行状态下,能够修改时、分、秒的值;5.具有报时功能,整点时喇叭鸣叫。

三)所用主要器件和设备:1、TDS 系列数字电路实验系统2、ISP 系统可编程器件以及《数字逻辑》课程实验所用部分中、小规模集成电路等3、PC 计算机4、ISP1032E 可编程逻辑器件以及数据选择器、触发器、移位寄存器、计数器及基本门电路等5、在Windows 平台上运行的ispLEVER 编程软件四)设计思想:数字钟电路的基本结构由两个 60 进制计数器和一个24 进制计数器组成,分别对秒、分、小时进行计时,当计时到23 时59 分59 秒时,再来一个计数脉冲,则计数器清零,重新开始计时。

当数字钟处于计时状态时,秒计数器的进位输出信号作为分钟计数器的计数信号,分钟计数器的进位输出信号又作为小时计数器的计数信号时、分、秒的计时结果通过6 个数码管来动态显示。

数字钟除了能够正常计时外,还应能够对时间进行调整。

因此,通过模式选择信号MOD1、MOD2 控制数字钟的工作状态,即控制数字钟,使其分别工作于正常计时,调整分、时和设定分、时5 个状态。

当数字钟处于计时状态时,3 个计数器允许计数,且秒、分、时计数器的计数时钟信号分别为CLK,秒的进位, 分的进位;当数字钟处于调整时间状态时,被调的分或时会一秒一秒地增加;当数字钟处于定时状态时,可以设定小时和分;当计时到所设定的时刻时,会响闹钟五)设计步骤及程序:pause是暂停键,当暂停时set1与set2分别控制调节为时还是为分或是为秒。

exam提供一个模拟上升沿,hh,hl,mh,ml,sh,sl分别控制小时高位,低位,分钟高位,分钟低位,秒高位,低位。

thh,thl,tmh,tml,tsh,tsl分别表示时分秒变化的中间变量。

alarm表示闹钟是否报时library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock isport(clk:in std_logic;clr:in std_logic;pause:in std_logic;set1:in std_logic;set2:in std_logic;clk1:in std_logic;alarm:out std_logic;hh,hl,mh,ml,sh,sl:out std_logic_vector(3 downto 0));end clock;architecture behavioral of clock issignal count:integer range 0to 1000;signal thh,thl,tml,tmh,tsh,tsl:std_logic_vector(3 downto 0);signal exam:integer;beginprocess(clk,clk1,clr,pause,set1,set2)beginalarm<='0';if(clr='0')thenhh<="0000";hl<="0000";mh<="0000";ml<="0000";sh<="0000";sl<="0000";thh<="0000";thl<="0000";tmh<="0000";tml<="0000";tsh<="0000";tsl<="0000";count<=0;exam<=0;elsif(clk='1'and clk'event)thenif(pause='1')thenif(count=999)thencount<=0;if(thh="0010"and thl="0011"and tmh="0101"and tml="1001"and tsh="0101"and tsl="1001")thenthh<="0000";thl<="0000";tmh<="0000";tml<="0000";tsh<="0000";tsl<="0000";elsif(tsl="1001")thentsl<="0000";if(tsh="0101")thentsh<="0000";if(tml="1001")thentml<="0000";if(tmh="0101")thentmh<="0000";if(thl="1001")thenthl<="0000";thh<=thh+1;else thl<=thl+1;end if;else tmh<=tmh+1;end if;else tml<=tml+1;end if;else tsh<=tsh+1;end if;else tsl<=tsl+1;end if;else count<=count+1;end if;elsif(pause='0'and (clk1='1'and exam=0))thenexam<=1;if(set1='1'and set2='0')thenif(thh="0010"and thl="0011")thenthh<="0000";thl<="0000";elsif(thl="1001")thenthl<="0000";thh<=thh+1;else thl<=thl+1;end if;elsif(set1='0'and set2='1')thenif(tmh="0101"and tml="1001")thentmh<="0000";tml<="0000";elsif(tml="1001")thentml<="0000";tmh<=tmh+1;else tml<=tml+1;end if;elsif(set1='0'and set2='0')thenif(tsh="0101"and tsl="1001")thentsh<="0000";tsl<="0000";elsif(tsl="1001")thentsl<="0000";tsh<=tsh+1;else tsl<=tsl+1;end if;end if;end if;if(clk1='0')thenexam<=0;end if;hh<=thh;hl<=thl;mh<=tmh;ml<=tml;sh<=tsh;sl<=tsl;if( tmh="0000"and tml="0000"and tsh="0000"and tsl="0000")thenalarm<='1';end if;end if;end process;end behavioral;六)实验结果:1.当clk提供一个时钟脉冲,闹钟开始计时,当暂停键pause为1时,时钟按标准时钟运行2.当pause为0时,可以调节时钟,当set1=0,set2=0时,调节秒,当set1=0,set2=1时为调节分,当set1=1,set2=0时为调节时3.当整点时,时钟会自动鸣笛。

七)心得体会:经过一个星期的课程设计,经历的困难一语难尽。

整个课程设计期间我们失落过,也曾一度热情高涨。

从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我们回味无长。

生活就是这样,汗水预示着结果也见证着收获。

劳动是人类生存生活永恒不变的话题。

通过实习,我才真正领略到“艰苦奋斗”这一词的真正含义。

我想说,设计确实有些辛苦,但苦中也有乐,在如今浮躁的生活中,很少有机会能静下心来做一个设计,但我们可以,一个团队的任务,一起的工作可以让我们有说有笑,相互帮助,配合默契。

在一次次的试验中,我们收获的不仅是电路设计的技能更加是耐心和经验,还有做课程设计的心态。

一根线的错误直接影响着最后的结果,这就让我们每一根线都接的仔细。

最让人苦恼的是完全按设计的接线,结果却依然不对,这时候,我们需要分析错误的原因,逐步排查。

最终验收成功的时候我们由衷的高兴。

当然,这与老师的指导和示范十分不开的,正是有了老师耐心的指导和对于错误原因分析的帮助,才有了我们的对电路设计的新认识对我而言,知识上的收获重要,精神上的丰收更加可喜。

挫折是一份财富,经历是一份拥有。

这次实习必将成为我人生旅途上一个非常美好的回忆!再次感谢老师,您辛苦了。

相关文档
最新文档