555定时器电路设计

555定时器电路设计
555定时器电路设计

实验五555定时器电路设计

【实验目的】

1、熟悉集成定时器555的工作原理及应用。

2、掌握时钟信号产生电路的设计方法

【知识要点】组成占空比连续可调并能调节振荡频率的多谐振荡器

V

O

图6.5.5 占空比与频率均可调的多谐振荡器

电路如图6.5.5。对C充电时,充电电流通过R1、D1、R W2和R W1;放电时通过R W1、R W2、D2、R2。当R1=R2、R W2调至中心点,因充放电时间基本相同,其占空比约为50%,此时调节R W1仅改变频率,占空比不变。如R W2调至偏离中心点,再调节R W1,不仅振荡频率改变,而且对占空比也有影响。R W1不变,调节R W2,仅改变占空比,对频率无影响。因此,当接通电源后,应首先调节R W1使频率至规定值,再调节R W2,以获得需要的占空比。若频率调节的范围比较大,还可以用波段开关改变C的值。

【实验内容】

题目:时钟信号发生电路设计

设计一个电路,能够产生时钟信号,信号频率100Hz~1KHz,占空比要求在1/2~2/3范围内可调。测量实际电路的输出信号频率,测量脉冲的上升时间。

思考:1、如果希望得到高电平电压为10V的时钟信号,电路应如何处理?

2、对于不标准的时钟信号,一般应进行怎样的处理?

【实验要求】

按题目内容进行设计,设计方法和方案不限。要求首先进行计算机(Multisim)仿真,实现题目功能。然后在模拟实验箱中完成实际操作。自行设计测试表格,完成实际电路的测试。

【报告要求】

要求在实验报告中写出设计思路和设计过程。画出仿真原理图和仿真结果。列出元器件清单。写出实验结果及实验总结。

可能用到的芯片(555)

实验4指导书 555定时器电路设计

实验4 555定时器电路设计 预习内容 阅读《电工电子实验教程》第6.5节中555集成定时器应用的内容。 预习实验的内容,自拟实验步骤和数据表格,完成理论设计,画出原理电路,选择所用元件名称、数量,熟悉元件引脚,手写预习报告。 一、实验目的 1.熟悉集成定时器555的工作原理及应用。 2.熟悉时钟信号产生电路的设计方法。 3.掌握使用定时器555设计多谐振荡器的方法。 二、知识要点 时钟信号在电子电路中有着非常重要的作用,而生成周期时钟信号的方法也有多种。比较常用的方法就是使用555定时器构成多谐振荡器。此电路广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555。555定时器的电源电压范围宽,可在4.5V~16V 工作,7555可在3~18V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 图5-1 555定时器的结构图和引脚分布图 1脚-GND,接地脚; 2脚-Trigger,低电平触发端; 3脚-Output,输出端; 4脚-Reset,复位端,低电平有效; 5脚-Control V oltage,电压控制端; 6脚-Threshold,阈值输入端; 7脚-Discharge,放电端; 8脚-V CC,电源端。 三、实验内容 题目:时钟信号发生电路设计 设计一个电路,能够产生时钟信号,要求信号频率可调,设计范围不小于500Hz~1000Hz,

基于555定时器闪光电路设计及制作

基于555定时器闪光电路设计与制作 我们主张,电子初学者要采用万能板焊接电子制作作品,因为这种电子制作方法,不仅能培养电子爱好者的焊接技术,还能提高他们识别电路图和分析原理图的能力,为日后维修、设计电子产品打下坚实的基础。 本文介绍555定时器的结构、引脚功能以及构成单稳态触发器、多谐振荡器、施密特触发器等电路,进一步掌握集成电路的使用方法,并利用多谐振荡器产生的脉冲信号控制二个发光二极管实现闪光电路。 一、基于555定时器闪光电路功能介绍 每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 本制作套件就是利用555定时器设计的多谐振荡器,进而构成闪光电路,如图1所示。 图1 基于555定时器闪光电路成品图

二、基于555定时器闪光电路原理图 图2 基于555定时器闪光电路原理图 三、基于555定时器闪光电路工作原理 1、可调电阻的特性及用法 可调电阻也叫可变电阻,是电阻的一类,其电阻值的大小可以人为调节,以满足电路的需要。可以逐渐地改变和它串联的用电器中的电流,也可以逐渐地改变和它串联的用电器的电压,还可以起到保护用电器的作用。

图3 可调电阻100K可调范围 电位器是可调电阻的一种,通常是由电阻体与转动或滑动系统组成,即靠一个动触点在电阻体上移动,获得部分电压输出。 电位器的电阻体有两个固定端,通过手动调节转轴或滑柄,改变动触点在电阻体上的位置,则改变了动触点与任一个固定端之间的电阻值,从而改变了电压与电流的大小。

实验三++555定时器的应用仿真实验

电子技术仿真实验报告实验题目: 3 555定时器的应用仿真实验 班级: 姓名: 学号: 实验日期: 实验成绩:

实验三 555定时器的应用仿真实验 一、实验目的: 1、熟悉555定时器的工作原理。 2、掌握555定时器的典型应用。 3、掌握基于multisim 10.0的555定时器应用仿真。 二、实验原理: 555定时器是一种常见的集数字与模拟功能于一体的集成电路。通常只要外接少量的外围元件就可以很方便地构成施密特触发器、单稳态触发器和多谐振荡器等多种电路。其中: (1) 构成施密特触发器,用于TTL 系统的接口,整形电路或脉冲鉴幅等; (2)构成多谐振荡器,组成信号产生电路; (3)构成单稳态触发器,用于定时延时整形及一些定时开关中。 555应用电路采用这3种方式中的1种或多种组合起来可以组成各种实用的电子电路。 U1 LM555CM GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 GND ——1脚,接地;TRI ——2脚,触发输入;OUT ——3脚,输出;RES ——4脚,复 位(低电平有效);CON ——5脚,控制电压(不用时一般通过一个0.01F 的电容接地);THR ——6脚,阈值输入;DIS ——7脚,放电端;VCC ——8脚,+电源

1、 由555定时器构成多谐振荡器 (1) 接通电源时,设电容的初始电压0=c V ,此时TR V \TH V 均小于1/3Vcc ,放电截止, 输出端电压为高电平,Vcc 通过1R 和2R 对C 充电,Vc 按照指数规律逐步上升。 (2) 当Vc 上升到2/3Vcc 时,放电管导通,输出端电压为低电平,电容C 通过2R 放电,Vc 按照指数规律逐步下降。 (3) 当Vc 下降到1/3Vcc 时,放电管截止,输出端电压由低电平翻转为高电平,电容C 又开始充电。当电容C 充到Vc=2/3Vcc 时,又开始放电,如此周而复始,在输出端即可产生矩形波信号。 矩形波信号的周期取决于电容器充、放电回路的时间常数,输出矩形脉冲信号的周期 C R R T )2(7.021+≈ 2、 施密特触发器是脉冲波形整形和变换电路中经常使用的一种电路。其具有两个稳定 状态,两个稳定状态的维持和相互转换取决于输入电压的高低和,属于电平触发,具有两个不同的触发电平,存在回差电压。由555定时器构成的施密特触发器将555定时器的THR 和TRI 两个输入端连在一起作为信号输入端即可得到施密特触发器。 (1) 当Vi<1/3Vcc 时,输出Vo 为高电平。随着Vi 的上升,只要Vi<2/3Vcc ,输出 信号将维持原状态不变,设此状态为第一稳定状态。 (2) 当Vi 上升到Vi ≥2/3Vcc 时,输出Vo 为低电平。电路由第一稳定状态翻转为第 二稳定状态,电路的正向阈值电压为+T V =2/3Vcc 。随着Vi 上升后又下降的情况,只要Vi 〉1/3Vcc ,电路将维持在第二稳定状态不变。 (3) 当Vi 下降到Vi ≤1/3Vcc 时,电路又翻转到第一稳态,电路的负向阈值电压为 -T V =1/3Vcc 。 三、实验内容: 1、555定时器构成多谐振荡器仿真实验

555定时器的典型应用电路教学文案

555定时器的典型应 用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205] 几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电

平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形 震荡周期的确定: 根据u c(t)的波形图可以确定振荡周期,T=T1+T2 先求T1,T1对应充电,时间常数τ1=(R A+R B)C,初始值为u c(0)= V CC/3,无穷大值u c(∞)=V CC,当t= T 1时,u c(T1)=2 V CC/3,代入过渡过程公式,可得 T1=ln2(R A+R B)C≈0.7(R A+R B)C 求T2,T2对应放电,时间常数τ2=R B C,初始值为u c(0)=2 V CC/3,无穷大值u c(∞) =0V,当t= T2时,u c(T 2)= V CC/3,代入过渡过程公式,可得T2=ln2R B C≈0.7R B C 振荡周期 T= T1+T2=≈0.693(R A+2R B)C 振荡频率

555定时器简单的电路

每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上。一些豪华轿车上,使用单片微型计算机的数量已经达到48个,电子产品占到整车成本的50%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 555定时器可方便地构成单稳态触发器,多谐振荡器,施密特触发器等电路,闪光电路一般是利用多谐振荡器产生的脉冲信号控制而成。 一、电路图如下:

闪光电路原理图1引脚原理图2 分析工作原理的时候,可以对照图1所示,这是一个典型的利用555设计的多谐振荡器,调节可变电阻可以改变输出的振荡信号的频率,信号从3脚输出一个高低电平,控制D1和D2。 当输出高电平的时候,D2亮,D1不亮。当输出低电平的时候,D2不亮,D1亮。总的效果看起来就是闪烁了。

需要制作实物的朋友可以对照图2制作,像这么一个比较简单的电路,可以购买少量的元件,用万能板(洞洞板)焊接而成,当然焊接的时候,需要一定的焊接技术,如果焊接技术不行的朋友,一定要练习焊接技术,我们比较提倡在电子制作过程中采用拖焊技术,具体实物产品,可以参照图3和图4。 二、元件清单如下: 需要制作的朋友,可以到电子市场购买以上元器件,都是非常常用的元器件,容易购买。笔者建议去网上购买,初步估计所有的材料加在一起,价格在5元以内。 三、闪光器实物图 图3 闪光器实物图

图4闪光器背面走线图 在制作的时候,一定要注意555定时器的引脚功能,比如1脚接地,8脚接电源,和普通的DIP集成电路有些不一样,当制作完成的时候,如果LED灯不闪烁,就要检测了,首先检测1脚和8脚电压是否正常,然后再检测4脚电压是否正常,2脚和6脚是否已经连在一起来,如果这些都正常了,故障基本会被排除了。

数字电路实验报告555定时器及应用

姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx . 学院:计算机与电子信息学院专业:计算机类. 班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日. 指导教师:xxxxxxxx . 实验名称:555定时器及应用. 一、实验目的 1、熟悉掌握555定时器的基本工作原理及功能; 2、掌握555定时器构成多谐震荡器的工作原理和使用方法; 3、熟悉数字系统的分析和应用。 二、实验原理 1、555定时器原理简介 555定时器是共仪器、仪表、自动化装置、各种民用电器的定时器、时间延时器等电子控制电路用的时间功能电路,也可以做自激多谐振荡器、脉冲调制电路、脉冲相位调谐电路、脉冲丢失指示器、报警器以及单稳态、双稳态等各种电路,应用范围十分广泛。 (1)555定时器的特点 ①外部连接几个阻容元件,可以方便的构成施密特触发器、多谐振荡器和单稳态 触发器等脉冲产生与整形回路。 ②具有一定的输出功率,因此可直接驱动微电机、指示灯和扬声器等。该器件有 双极型和COMS型两类产品,双极型产品型号最后三位为555,COMS型产品 型号最后四位为7555,它们的功能及外部引线排列完全相同。 ③电源电压范围宽(3~18V),双极型的电源电压为5~15V,COMS型的电源电 压为3~18V,能够提供与TTL及COMS型的数字电路兼容的逻辑电平。 (2)555定时器的电路结构及功能 图6-1是555定时器的电路结构图和管脚排列图,它的八个引脚的名称及作用如下: 1脚:芯片的地端2脚:芯片的触发输入端TR’(也叫低触发端)3脚:芯片的输出端4脚:芯片的复位端RD’ 5脚:芯片的控制电压输入Vco 6脚:芯片的阈值输入端TH(也叫高触发端)7脚:芯片的放电端DISC 8脚:芯片的电源Vcc

555定时器声光报警电路 课程设计书

555定时器声光报警电路课程设计书

苏州市职业大学 课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系电子信息工程系 班级10电气4班 姓名齐国昀 学号107301427 系主任张红兵 教研室主任邓建平 指导教师邓建平

目录 第一章绪论 (1) 第二章555定时器声光报警电路设计 (3) 2.1 硬件组成 (3) 2.2 电路原理图 (3) 2.3 电路原 (3) 2.4 性能指标 (3) 第三章主要元器件原理及相关计算 (4) 3.1.主要元器件介绍 (4) 3.1.1 555定时器 (4) 3.1.2 555定时器的电路结构及其功能 4 3.1.3 555定时器的应用分类 (5) 3.2 测量值 (6) 3.3电位器 (6) 3.3.1电位器的作用及特点 (6) 3.4蜂鸣器 (7) 3.4.1蜂鸣器的结构原理 (7) 3.5 发光二极管 (8) 3.6 相关性能指标计算 (8) 第四章焊接及调试过程与注意点 (9) 4.1安装及焊接步骤 (9) 4.1.1查找资料 (9)

4.1.2 准备工具、检测元器件 (9) 4.1.3焊接 (9) 4.2调试及调试后的波形 (10) 第五章心得体会 (11) 第一章绪论 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、

555定时器多谐波电路Multisim仿真

数字电子技术仿真实验报告 实验名称:555定时器 学生姓名:刘佳璇学号:20152523 指导教师:金丹 院系:电气工程学院班级:201502D 2017 年11 月29 日

555定时器 一、实验目的 1、学会使用 MULTISIM 软件进行数字电子实验仿真。 2、学习了解555定时器的工作原理。 二、实验内容 多谐振荡器 三、实验原理 555定时器的内部电路图及引脚排列见下图,功能表见下表。

555定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器C1的同相输入端的电压为3/2CC V ,C2的反相输入端的电压为VCC 若触发输入端TR 的电压小于3/CC V ,则比较器C2的输出0,可使RS 触发器置1,使输出端OUT=1。如果阈值输入端TH 的电压大于3/2CC V ,同时TR 端的电压大于3/CC V ,则C1的输出为0,C2的输出为1,可将RS 触发器置0,使输出为0电平。

多谐振荡器又称为无稳态触发器,它没有稳定的输出状态,只有两个暂稳态。在电路处于某一暂稳态后,经过一段时间可以自行触发翻转到另一暂稳态。 两个暂稳态自行相互转换而输出一系列矩形波。多谐振荡器可用作方波发生器。电路如图。 四、 实验设计与仿真 构建仿真电路如图所示,其中Ω=k R 21,Ω=k R 12,F C μ1.0=。接通V 5电源,用示波器观察c u 和o u 的波形。

波形如下图: 仿真结果与实验结果一致。 五、实验小结

这次的仿真实验是 555 定时器(多谐振荡器)电路,实验连线较简单,但是原理并不简单,通过实验我更加深刻的理解了555定时器的工作原理。

555定时器温度控制电路设计要点

内容摘要 在日常的生产与生活中,温度是一个非常重要的过程变量,因为它直接影响燃烧、化学反应、发酵、烘烤、煅烧、蒸馏、浓度、挤压成形、结晶以及空气流动等物理和化学过程。所以人们需要用到良好的温度检测及控制装置系统来解决这些问题。本文介绍了采用A/D转换、555定时器、AT89C51芯片以及DS1620温度传感器等组成的温度控制系统的设计方法和工作原理。能够通过传感器对温度的感应自动调节加热功率的大小,并且在解决温度检测的基础上,通过555定时器完成对温度的特殊控制。 本设计应用性比较强,设计系统可以作为温度监控系统,如果稍微改装可以做热水器温度调节系统、实验室温度监控系统等等。课题主要任务是完成环境温度检测,利用单片机实现温度调节并通过计算机实施温度监控。设计后的系统具有操作方便,控制灵活等优点。 本设计系统包括温度传感器,A/D转换模块,温度传感器模块,和555定时器,AT89C51芯片等。文中对每个部分功能、实现过程作了详细介绍。整个系统的核心是以555定时器进行温度监控,完成了课题所有要求。 索引关键词:自动控制系统温度传感器 MCS-51 555定时器

目录 第一章绪论 (1) 1.1研究温度控制系统的意义 (1) 1.2 温度控制系统中传感器 (1) 1.3 温度控制系统设计要点 (1) 1.4 温度控制系统设计内容 (1) 第二章硬件系统的构成 (2) 2.1 AT89C51概况 (2) 2.2功能特性概述 (2) 2.3引角功能说明 (2) 2.4时钟振荡器 (4) 2.5空闲节电模式 (4) 2.6掉电模式 (4) 2.7传感器概述 (4) 第三章数字温度测控芯片DS1620的应用 (4) 3.1 概述 (4) 3.2 引脚功能说明 (5) 3.3 操作和控制 (6) 3.4 DS1620有两种操作模式 (6) 3.5 555定时器概述 (8) 3.6 电路图 (10) 后记 (11) 参考文献 (12)

555定时器实验报告

一、实验目的 二、实验原理 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 2.9.1 和图2.9.2 所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为0,可使RS 触发器置1,使输出端OUT=1。如果阈值输入端 TH 的电压大于2VCC/3,同时 TR 端的电压大于VCC /3,则C1 的输出为 0,C2 的输出为1,可将RS 触发器置 0,使输出为 0 电平。 它的各个引脚功能如下: 1脚:外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS 型时基电路VCC的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端 4脚:是直接清零端。当端接低电平,则时基电路不工作,此时不论、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555时基电路的功能表如表6—1示。 三、实验内容 四、思考题

振荡电路及555定时器应用设计报告

振荡电路设计报告设计课题:自激多谐与单稳态 专业班级:12电信卓越班 学生姓名:万松 学号:120802034 指导教师:许老师 设计时间:2013-12-25

自激多谐与单稳态 一、设计任务与要求 1.用非门设计构成多谐振荡器,振荡频率为6KHz ;用非门设计构成晶振振荡器,晶振为4MHz ;555时基电路构成多谐振动器; 2.用555 时基电路构成单稳态触发器,具有可重复触发特性; 二、方案设计与论证 任务一:多谐振荡器 1. 方案一、非门构成对称型多谐振荡器 对称型多谐振荡器原理: (1) 静态(未振荡)时应是不稳定的 此电路是由两个反相器及滑动变阻器经耦合电容C1连接起来的正反馈振荡电路,并设法使反相器工作在放大状态,即给他们设置适合的偏置电压,这个偏置电压可以通过在反相器的输出端与输出端之间接入反馈电阻来得到。 方案二、非门构成非对称型多谐振荡器 非对称型多谐振荡器原理: 开始放电。 开始充电,电路进入第一个暂稳态迅速跳变为高。 迅速跳变为低,而使,则有: 有微小由于“扰动”使212122!11, )2(C C V V V V V V V O O O I O I I ↑↓→↓→↑→↑开始放电。 开始充电,电路进入第二个暂稳态迅速跳变为低。 迅速跳变为高,而使将起引起如下正反馈:时,再充至当122111222,)3(C C V V V V V V V V O O O I O I TH I ↑↓→↓→↑→↑

在方案一的电路中反相器G1输入端串接一个足够大的保护电阻R ,则G1的输入电流可以忽略不计,即R 远大于R(N)和R(P),非对称型多谐振荡器的输出波形是不对称的,当用TTL 与非门组成时,输出脉冲宽度tw1═RC ,tw2═1.2RC T═2.2RC ,调节 R 和C 值,可改变输出信号的振荡频率,通常用改变C 实现输出频率的粗调,改变电位器R 实现输出频率的细调。 通过分析,结合设计电路性能指标、器件的性价比,本设计电路选择方案二。 三、单元电路设计与参数计算 非对称式多谐振荡器由反相器,电阻和电容构成,非对称式多谐振荡器的组成框图3-1所示。 参数计算: 振荡周期为: 取频率为6KHz,电容值为0.1uf ,可根据上述公式可得电阻阻值为750Ω 图3-1 四、总原理图及元器件清单 T=2.2R F C

555定时器光控防盗报警电路课程设计报告(含电路图)

摘要 红外线发射电路的功能是利用红外线发光二极管发射光脉冲,从而实现电路对人或物体的感应。红外线接收电路的功能是利用光敏元件接收发射出来的光脉冲,并且将光脉冲信号转化为电信号,同时对其进行放大。声光报警电路的功能是当有人体或物体接近防盗报警电路时,通过声音和显示信号提示主人。时间延迟和自动喷洒电路的功能是当声光报警一段时间之后自动喷洒麻醉剂来保护财产。电源电路的功能是为上述所有电路提供直流电压,该电路也可采用电池供电,但需要注意的问题是选择合适电池的指标参数与电路相匹配。 关键词防盗报警/红外线/555定时器/ LM567锁相环频率解码器

目录 第一章光电报警电路的应用 (3) 第二章电路的组成及其原理 (4) 第一节设计要求 (4) 第二节简易光电报警电路的结构模块图 (4) 第三节工作原理 (5) 一、电源电路 (5) 二、红外发射电路 (6) 三、红外接收电路 (7) 四、选频电路 (7) 五、声光报警电路 (8) 六、时间延迟及麻醉喷射电路 (9) 第三章主要器件使用说明 (11) 第一节 555定时器 (11) 一、内部结构及引脚功能 (11) 二、555的功能描述 (12) 三、555的应用 (13) 四、555管脚图 (14) 第二节 LM567 (14) 一、LM567管脚功能 (14)

二、LM567内部结构及工作原理 (15) 第三节继电器 (17) 第四章个人总结 (18) 参考文献 (21) 附录 (21) 附录1简易光控防盗报警电路总图 (22) 附录2元件参数列表 (23)

第一章光电报警电路的应用 随着时代的不断进步,人们对自己所处环境的安全性提出了更高的要求,尤其是在家居安全方面,不得不时刻留意那些不速之客。所以作为新一代的智能家居安全防盗报警器系统就应运而生,并日益受到广泛的重视和运用。另外,为了进一步规范住宅小区智能化建设,建设部特别制定了智能小区的等级标准,按照其要求智能小区中必须具有安全防范、信息管理、物业管理和信息网络等系统。 因此小区安全防范系统建设已逐渐纳入许多小区建设的必备项目中了。以深圳为例,几乎所有新建的住宅楼盘都预装了防盗系统,并禁止安装防盗网,而上海、广州、温州、南昌等地更是花费重金拆除了防盗网,其防盗功能则必须由电子防盗系统来完成。因此,家庭安防系统必将有很大的发展,并且也将从北京、上海、广东等发达城市向内地蔓延开来,形成一个全新的朝阳产业。

555定时器电路数电实验报告

实验报告 课程名称:数字电子技术实验姓名: 学号: 专业: 开课学期: 指导教师:

实验课安全知识须知 1.须知1:规范着装。为保证实验操作过程安全、避免实验过程中意外发生,学生禁止穿拖 鞋进入实验室,女生尽量避免穿裙子参加实验。 2.须知2:实验前必须熟悉实验设备参数、掌握设备的技术性能以及操作规程。 3.须知3:实验时人体不可接触带电线路,接线或拆线都必须在切断电源的情况下进行。 4.须知4:学生独立完成接线或改接线路后必须经指导教师检查和允许,并使组内其他同学 引起注意后方可接通电源。实验中如设备发生故障,应立即切断电源,经查清问题和妥善处理故障后,才能继续进行实验。 5.须知5:接通电源前应先检查功率表及电流表的电流量程是否符合要求,有否短路回路存 在,以免损坏仪表或电源。 特别提醒:实验过程中违反以上任一须知,需再次进行预习后方可再来参加实验;课程中违反三次及以上,直接重修。 实验报告撰写要求 1.要求1:预习报告部分列出该次实验使用组件名称或者设备额定参数;绘制实验线路图, 并注明仪表量程、电阻器阻值、电源端编号等。绘制数据记录表格,并注明相关的实验环境参数与要求。 2.要求2:分析报告部分一方面参考思考题要求,对实验数据进行分析和整理,说明实验结 果与理论是否符合;另一方面根据实测数据和在实验中观察和发现的问题,经过自己研究或分析讨论后写出的心得体会。 3.要求3:在数据处理中,曲线的绘制必须用坐标纸画出曲线,曲线要用曲线尺或曲线板连 成光滑曲线,不在曲线上的点仍按实际数据标出其具体坐标。 4.要求4:本课程实验结束后,将各次的实验报告按要求装订,并在首页写上序号(实验课 上签到表对应的序号)。请班长按照序号排序,并在课程结束后按要求上交实验报告。 温馨提示:实验报告撰写过程中如遇预留空白不足,请在该页背面空白接续。

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2V CC/3,低电平必须小于V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图[动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。 图22-2-4 多谐振荡器电路图图22-2-5 多谐振荡器的波形

数电课程设计 555定时器

课程设计说明书 名称555定时器声光报警电路 2011年12月12日至2011年12月16日共1 周 院系 班级 姓名 系主任 教研室主任 指导教师

目录 第一章绪论 (2) 第二章主要元器件原理及相关计算 (3) 2.1 测量值 (3) 2.2.主要元器件介绍 (3) 2.2.1 555定时器 (3) 2.2.2 555定时器的电路结构及其功能 (4) 2.2.3 555定时器的应用分类 (5) 2.3电位器 (5) 2.3.1电位器的作用及特点 (5) 2.4蜂鸣器 (6) 2.4.1蜂鸣器的结构原理 (6) 2.5 发光二极管 (6) 2.6 相关性能指标计算 (7) 第三章 555定时器声光报警电路设计 (8) 3.1 硬件组成 (8) 3.2 电路原理图 (8) 3.3 印刷板电路图 (8) 3.4 555定时器声光报警电路原理 (9) 3.5 性能指标要求 (9) 第四章焊接及调试过程和注意点 (10) 4.1安装及焊接步骤 (10) 4.1.1查找资料 (10) 4.1.2焊接 (10) 4.2调试及调试的波形 (11) 4.2.1焊接好后的成品图 (11) 4.2.2实验波形 (12) 第五章心得体会 (13) 参考文献 (13)

第一章绪论 555定时器是一种结构简单、使用方便灵活、用途广泛的多功能电路。只要外部配接少数几个阻容元件便可组成施密特触发器、单稳态触发器、多谐振荡器等电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名555定时器的电压范围宽,双极型555定时器为5~16 V,CMOS 555定时器为3~18 V。可提供与TTL及CMOS数字电路兼容的接口电平。555定时器还可以输出一定的功率,可驱动微电机、指示灯、扬声器等。它在脉冲波形的产生与变换、仪器与仪表、测量与控制、家用电器与电子玩具等领域都用着广泛的应用。 TTL单定时器型号的最后3位数为555,双定时器的为556;CMOS但定时器的最后4位数为7555,双定时器的为7556.它们的逻辑功能和外部引线排列完全相同。 555定时器可以说是模拟电路与数字电路结合的典范。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现异步工作,使两个振荡器间隙振荡,这样蜂鸣器就会发出间隙的声响,发光二极管闪烁。

基于555定时器的电子琴设计

电子电路CAD课程设计 学生姓名:学号: 学校: 专业年级: 题目:基于555定时器的电子琴设计指导老师: 2011年12月24日

1 设计要求与任务 (1)学习调试电子电路的方法,提高实际动手能力; (2)了解由555定时器构成简易电子琴的电路及原理。 2 设计方案 本实验采用两个555集成定时器组成简易电子琴。整个电路由主振荡器,颤音振荡器,扬声器和琴键按钮等部分组成。 主振荡器由555定时器,七个琴键按钮S1~S7,外接电容C1、C2,外接电阻R8以及R1~R7等元件组成,颤音振荡器由555定时器,电容C5及R9、R10等元件组成,颤音振荡器振荡频率较低为64Hz,若将其输出电压U连接到主振荡器555定时器复位端4,则主振荡器输出端出现颤音。 按图接线后闭合不同开关即可令喇叭发出不同频率的声响,从而模拟出电子琴的工作。 3 实验器材 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

4 系统设计 4.1 总体框图 该电路包括按钮开关,定值电阻,555振荡器和扬声器三部分组成。 (1)输入端:由八个按钮开关与各自的定值电阻串联在并联组成输入端;(2)频率产生端:根据定值电阻的不同输入,由555产生不同的信号频率;(3)扬声器端口:接受信号频率发出特定的频率。 4.2 开关输入端 逻辑功能:八个开关与经计算出来的固定电阻串联后再其并联,给555震荡器产生不同的信号,从而产生不同的频率。

555定时器声光报警器设计

555定时器声光报警电路 学院名称计算机科学学院 专业计算机科学与技术 班级 2012级计算机科学与技术本科班 甘肃政法学院 2013年12 月3日

目录 绪论 (1) 第1章原理分析 (2) 1.1 原理图 (2) 1.2 能指标要求 (2) 1.3 电路整体分析 (2) 1.4 多谐振荡器电路 (3) 1.4.1电路构成 (3) 1.4.2 电路的工作原理 (3) 第2章器件说明与分析 (4) 2.1 555定时器 (4) 2.1.1结构图和管脚排列图 (4) 2.1.2组成 (5) 2.1.3 各个引脚功能 (6) 2.1.4逻辑功能 (6) 2.2 电位器 (7) 2.3 发光二极管 (7) 2.4 蜂鸣器 (7) 第3章焊接及成果 (8) 3.1 安装及焊接步骤 (8) 3.2 调试 (8) 3.3 焊接注意点 (9) 第4章实验总结......................................................................................... 错误!未定义书签。附录 .. (9) 参考文献......................................................................................................... 错误!未定义书签。

绪论 555定时器是美国Signetics公司1972年研制的用于取代机械式定时器的中规模集成电路,因输入端设计有三个5kΩ的电阻而得名。此电路后来竟风靡世界。目前,流行的产品主要有4个:BJT两个:555,556(含有两个555);CMOS两个:7555,7556(含有两个7555)。555定时器可以说是模拟电路与数字电路结合的典范。 555 定时器是一种模拟和数字功能相结合的中规模集成器件。一般用双极性工艺制作的称为 555,用 CMOS 工艺制作的称为 7555,除单定时器外,还有对应的双定时器 556/7556。555 定时器的电源电压范围宽,可在 4.5V~16V 工作,7555 可在 3~18V 工作,输出驱动电流约为 200mA,因而其输出可与 TTL、CMOS 或者模拟电路电平兼容。 555 定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。 555定时器声光报警电路是一种防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警的一种装置。 555定时器声光报警电路是利用两个555定时器组成的振荡电路,实现同步工作,使两个振荡器同步振荡,这样蜂鸣器就会发出间隙的声响,发光二极管同时闪烁。

555定时器_电子课程设计解析

目录 摘要 (2) 1. Multisim软件的简介 (4) 2. 系统设计总体方案 (5) 2.1 设计基本思路 (5) 2.2 设计总流程图 (6) 3. 555定时器,CD4518和CD4011介绍 (7) 3.1 555定时器 (7) 3.2 CD4518 (10) 3.3 CD4011引脚图 (12) 4. 数字逻辑控制,脉冲信号产生,计数器计数和数码管显示模块电路图 (14) 4.1 数字逻辑控制模块 (14) 4.1.1 数字逻辑控制模块电路图 (14) 4.1.2 数字逻辑控制模块原理 (14) 4.2 脉冲信号产生模块 (15) 4.2.1 脉冲信号产生模块电路图 (15) 4.2.2 冲信号产生模块原理 (16) 4.3 计数器计数模块 (17) 4.3.1 计数器计数电路图 (17) 4.3.2 计数器计数模块原理 (18) 4.4 显示器模块 (18) 5. 电路的总体设计与调试 (19)

5.1 总体电路原理图 (19) 5.2 总电路工作原理 (19) 6. 课程设计收获与体会 (20) 7. 参考文献 (21) 摘要 本次课程设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器功能,计时器显示0~99计数,在实际生活中应用很广。根据日常生活中观察,数字式计时器设计成型后供扩展的方面很多,例如自动报警、按时自动打铃等。因此,与机械式时钟相比具有更高的可视性和精确性,而且无机械装置,具有更长的使用寿命,所以研究数字钟及扩大其应用,有着非常现实和实际的意义。目前,数字计数器的功能越来越强,并且有多种专门的大规模集成电路可供选择。但从知识储备的角度考虑,本设计是以中小规模集成电路设计数字钟的一种方法。数字计数器包括组合逻辑电路和时序电路。

基于555定时器的函数信号发生器设计

2013-2014学年度第二学期电子技术基础课程 调 研 报 告 课题名称:基于555定时器的 信号发生器设计 专业:物理学 学号:********* 姓名:** ** ** 成绩:

1、调研任务与要求 设计一个信号发生器,独立完成系统设计,要求能实现以下功能: (1)能产生方波、三角波、正弦波 2、调研目的 (1)进一步巩固熟悉简易信号发生器的电路结构及电路原理并了解波形的转变方法;(2)学会用简单的元器件及芯片制作简单的函数信号发生器,锻炼动手能力; (3)学会调试电路并根据结果分析影响实验结果的各种可能的因素 3、设计方案论证 信号发生器一般由一个电路产生方波或者正弦波,通过波形变换得到其他几种波形。考虑到RC震荡产生正弦波的频率调节不方便且可调频率范围较窄,本设计采用先产生方波,后变换得到其他几种波形的设计思路。 采用555组成的多谐振荡器可以在接通电源后自行产生矩形波,再通过积分电路将矩形波转变为三角波,再经积分网络转变为正弦波。 4、555定时器的电路结构与工作原理

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器 和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC若触发输入端TR 的电压小于VCC /3,则比较器 C2 的输出为0,可使 RS 触发器置1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为1,可将 RS 触发器置0,使输出为0电平。 它的各个引脚功能如下: 1脚:外接电源负端VSS或接地,一般情况下接地。 8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端 4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555 时基电路的功能表如表1示。 表1

555定时器综合实验报告

课程名称:数字电子技术基础项目名称:灯泡延时电路 项目组成员及分工及成绩评定

目录 1 课程设计目的 (2) 2 课程设计题目及要求 (2) 3 课程设计报告内容 (2) 3.1 按键式延时照明灯方案 (2) 3.2 电路元器件介绍 (3) 3.3 电路功能介绍 (4) 3.3.1 电路制作流程 (4) 3.4 实操连接电路和仿真电路的实现 (5) 3.4.1 电路实物图 (5) 3.4.2 手画电路原理图 (6) 3.4.3 仿真结果 (6) 3.5 电路调试过程 (7) 4总结 (8)

1课程设计目的 (1)掌握进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 (3)提高学生的创新能力。 (4)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2课程设计题目及要求 设计步骤 1.对单稳态电路的设计和元器件参数计算、选择。 2.购买相关器件,采用面包板搭建电路。 3.画出总体电路图。 4.结合仿真结果和电路图安装自己设计的电路,检查线路的准确性。 5.调试电路,将电路用multisim对电路进行仿真。 6.提交符合要求的电路和实验设计报告。 要求 1.输出接LED电路, 2.按键不按LED不亮,当按键按下时LED亮30秒,之后熄灭。 3课程设计报告内容 3.1按键式延时照明灯方案 设计的电路图如下所示

相关文档
最新文档