试验三键盘与显示

合集下载

纱线测试实验

纱线测试实验

实验2 纱线测试实验一、实验的目的与要求掌握纱线认识与纱线支数的测试;纱线捻度的测试;单纱强度及伸长率测试试验。

要求学生认识常规纱线的外观特征,掌握纱线支数的测试方法,并进行细度指标间的换算。

了解捻度机仪器的结构,掌握各种单纱及股线的捻度的测试方法,并掌握单纱强力机的结构和原理,掌握纱线强伸度的测试方法。

二、基础知识1.纱线的线密度测定线的线密度(细度)是表示纱线的粗度程度的指标, 纱线线密度决定着织物的品种、风格、用途和物理机械的性质。

线密度低的纱线其强力一般较低,织物的厚度轻薄,单位面积的重量也较轻,适于作轻薄性衣料;线密度高的纱线,其强力则较高,织物厚实,单位面积的重量也较重,故适于作中厚型衣料。

纱线的线密度指标有两类,即直接指标和间接指标,直接指标用纱线的直径来表示。

间接指标是利用纱线的长度和重量间的关系来间接表示纱线的线密度的因为纱线柔性体,截面并非圆形,在不同外力作用下可能呈椭圆形、跑道形、透镜形等形状。

纱线的理论直径通常是由纱线的线密度换算而得。

纱线表面有毛羽,截面形状不规则,并容易变形,较难实际测量,故纱线的线密度常用间接指标表。

纱线线密度间接指标有定长制(特克斯和旦尼尔)和定重制(公制支数、英支支数)两种。

定长制系指一定长度纱线的重量,它的数值越大,表示纱线越粗。

定重制系指一定重量纱线的长度,它的数值越大,表示纱线越细。

我国法定计量单位线密度的单位为特克斯(tex ),它是指1000m 长纱线在公定回潮率时的重量克数,目前我国棉纱线、棉型化纤纱线和中长化纤纱线的线密度规定采用特克斯为单位。

采用绞纱称重法来测定纱线的特数:绞纱周长为1m ,每缕l00圈,每批纱线取样后摇30绞,烘干后称总重量,将总重量除以30,得每绞纱的平均干量。

根据下式可求得所测纱线的线密度,单位为特克斯(tex)。

如下:N tex = 10G 0×100100K W ⨯ 式中:N tex ——— 纱线的线密度(tex )G 0 ——— 绞纱平均干态质量(g )W K ——— 纱线的公定回潮率(%)在毛纺和绢纺生产中,习惯采用公制支数为单位以往曾采用以公制支数为单位。

万能材料试验机使用说明书

万能材料试验机使用说明书
5、可切换手/自动操作
6自动记忆已设定的参数
7、百年免维护日历时钟
【技术参数】
》机器就位参数
电源:
380V三相交流电
工作环境:
室内,0C~+40C
安装尺寸:
控制柜:长80cm,宽60cm,高170cm
液压台:长80cm,宽70cm,高180cm
安全帽试验台: 长100cm,宽70cm,高170cm
相对位置:

【大夹头】
【S形传感器】
【轮辐式传感器】
【穿刺锤】
【头模】
【冲击锤】
【数控箱面板说明】
数控箱面板图
AGSJ-III型电力安全工器具力学性能试验机
苏 州 热工研究院有 限公司
微型打印机:打印试验名称、结论、试验时间和下次试验时间等参数。
发光数码显示管:显示所测到的拉力或压力值。
控制柜居中,液压台居右,安全帽试验台居左,各台之间安装间距不
大于40cm,为便于操作和试验,安装后长宽咼应在400cmX200cm
X 300cm。
运输重量:
860公斤/套(含木质包装箱)
工作重量:
800公斤
◎液压加载系统:
1、 最大拉力:20kN
2、 最大压力:12kN
3、测量分辨率:O.OOIkN
校正I、校正n旋钮:校正孔内的校正旋钮,是专供计量检定时使用的。校正I用于校验S
形传感器{拉压力传感器},校正n用于校验轮辐式传感器(冲击传感器)。出厂时已经
调整好,如非必要,不得对它进行操作,因擅自调整校正旋钮导致测量误差增大,不
列入保修范围之内。
调零旋钮:对两个传感器的数码管显示值进行零位调整,两个传感器共用一个调零旋钮。在 各试验的夹具和试件安装完成,试件上未施加压力时,调整调零旋钮,使发光数码显 示管显示值为o或-0。

键盘常见故障如何维修

键盘常见故障如何维修

键盘常见故障如何维修键盘常见故障如何维修键盘出现故障的原因是多方面的,下面店铺为大家带来了键盘常见故障的维修方法,希望对大家有用。

1.开机后键盘指示灯不亮,按键无反应:(1)键盘的熔丝烧毁通常熔丝是设计在主板上标明为F1的部件,设置在主板键盘插槽的附近,为保护控制电路所设。

按照标记检查即可。

(2)键盘的连接线头松脱或断裂通常为接触不良,在插槽处只插入了一半或是插口已坏等。

(3)键盘不小心渗入水或其他液体键盘不小心渗入水,可能导致某些按键有问题或电路短路,如可能会出现乱码等。

2.键盘的某个键按下后无法弹起这是由于一些低档键盘键帽下的弹簧老化使弹力减弱,引起弹簧变形,导致该触点不能及时分离,从而无法弹起。

这种故障比较常见,一般多发生在回车键、空格键等常用键上。

解决方法是:将有故障的键帽撬起,将键帽盖片下的弹簧更换,或将弹簧稍微拉伸以恢复其弹力(这只是权宜之计),再重新装好键帽即可。

如果该键盘使用的时间并不长,那么有可能是由于该键盘的制作工艺不好,比如某个键的键帽底部有毛刺或形状不规范,使键帽卡在键体中,导致按键下无法弹起。

对此新键盘而言,有两个解决方法:其一,将键帽撬起,检查键帽底部是否有毛刺,若有可用砂纸或剪刀将毛刺去除,再安放回原处即可:其二,将有问题的键调整到最不常用的位置(或是空键位置)。

当然最好是找销售商退货。

3.按下某个键屏幕上没有反应出现这种故障有两种可能:其一,键盘内部的电路板上有污垢,导致键盘的触点与触片之间接触不良,使按键失灵。

解决方法是将键盘拆开(不是只撬起失灵键),用软毛刷将电路板上的'污垢清除,同时使用无水酒精(一定要用无水酒精)清洗键盘按键下面与键帽接触的部分,如果表面有一层透明薄膜,应揭开后清洗。

其二,该按键内部的弹簧片因老化而变形,导致接触不良。

解决方法是将键盘拆开,把有问题的键换掉即可,如果暂时找不到新的键体,可以把键盘上不常用的键体进行调整即可。

4.按下一个键后会同时出现多个字符这是由于键盘内部电路板局部短路造成的(当键盘使用时间过长时,其按键的弹簧片可能将电路板上的绝缘漆磨掉,或是由于键体磨损电路板,形成了少量金属粉末,导致某局部多处短路)。

单片机实训小结与体会单片机实验收获与体会

单片机实训小结与体会单片机实验收获与体会

单片机实训小结与体会单片机实验收获与体会单片机实训小结与体会单片机实验收获与体会1通过今次单片机实训,使我对单片机的认识有了更深刻的理解。

系统以51单片机为核心部件,利用汇编软件编程,通过键盘控制和数码管显示实现了基本时钟显示功能、时间调节功能,能实现本设计题目的基本要求和发挥部分。

由于时间有限和本身知识水平的限制,本系统还存在一些不够完善的地方,要作为实际应用还有一些具体细节问题需要解决。

例如:不能实现只用两个按键来控制时钟时间,还不能实现闹钟等扩展功能。

踉踉跄跄地忙碌了两周,我的时钟程序终于编译成功。

当看着自己的程序,自己成天相伴的系统能够健康的运行,真是莫大的幸福和欣慰。

我相信其中的酸甜苦辣最终都会化为甜美的甘泉。

但在这次实训中同时使我对汇编语言有了更深的认识。

当我第一次接触汇编语言就感觉很难,特别是今次实训要用到汇编语言,尽管困难重重,可我们还是克服了。

这次的实训使培养了我们严肃认真的做事作风,增强了我们之间的团队合作能力,使我们认识到了团队合作精神的重要性。

这次实训的经历也会使我终身受益,我感受到这次实训是要真真正正用心去做的一件事情,是真正的自己学习的过程和研究的过程,没有学习就不可能有研究的能力,没有自己的研究,就不会有所突破。

希望这次的经历能让我在以后学习中激励我继续进步。

单片机实训小结与体会单片机实验收获与体会2时间过得真快,不经意间,一个学期就到了尾声,进入到如火如荼的期末考试阶段。

在学习单片机这门课程之前,就早早的听各种任课老师和学长学姐们说过这门课程的重要性和学好这门课程的关键~~多做单片机实验。

这个学期,我们除了在课堂上学习理论知识,还在实验室做了7次实验。

将所学知识运用到实践中,在实践中发现问题,强化理论知识。

现在,单片机课程已经结束,即将开始考试了,需要来好好的反思和回顾总结下了。

第一次是借点亮LED灯来熟悉keil软件的使用和试验箱上器材。

第一次实验体现了一个人对新事物的接受能力和敏感度。

6160键盘调试手册

6160键盘调试手册

6160键盘简易编程操作手册一、设置6139编程键盘地址为01开机同时按1 3键5秒,键盘显示Addr=31或Addr=xx,输入01按*键盘绿灯亮,显示***DISARMED***READT TO ARM键盘地址不为01时,不能编程,6148键盘不能编程二、设置每个防区(一)设置电路板上接线(Hardwire)防区,以001防区为例,先将随机配的2K电阻按接线图接在VISTA—120的端子上进入编程模式输入4140 8000 (4140为出厂设置的安装员密码)在加电的30秒内同时按* 和#进入编程模式键盘显示:Program Mode*Fill # View按*93 键盘显示:ZONE PROG1=TES 0=NO按1进入防区编程键盘显示:ENTER ZONE NO.000=QUIT 001输入001(以001防区为例)按*键盘显示:001 ZT P RC IN :L09 1 ————目前显示的是001防区的信息按*键盘显示:001 ZONE RESPONSEFire 09目前001防区为火警防区,请根据具体情况输入防区类型的编号编号00—无用防区。

编号01—出入口防区1型,布防时有延时,进入时有延时,延时时间由*09和*10定;编号02—出入口防区2型,布防时有延时,进入时有延时,延时时间由*11和*12定;编号03—周边防区,布防时有效,撤防时无效;(无延时)编号04—内部防区,留守布防时无效,外出布防时有效,撤防时无效;编号06—24小时无声防区;编号07—24小时有声防区;编号09—火警防区;其他防区类型的编号请参照英文手册。

输入03 键盘显示:001 ZONE RESPONSEPERIMETER 03001 定为设定周边防区按* 键盘显示:001 PARTITION1001 所属第一子系统(划分多个子系统时,输入该防区的子系统号)按* 键盘显示:001 REPORT CODE1st00 2nd 00 00此项设定001防区通讯码,1st输入11向第一报警中心发送报警信息2nd输入11向第二报警中心发送报警信息按* 键盘显示:001 INPUT TYPEHardwire 01此项设定001防区的接入类型,请输入防区接入类型的编号:按* 键盘显示:001 ZT P RC IN :L03 1 ——HW 1目前显示的是001防区的信息按* 键盘显示:ENTER ZONE NO .000=QUIT 002输入下一个防区的信息,如果不输入下一个防区的信息,(002—009防区不用时应防区类型输入00)输入000*返回键盘显示:QUIT MENU MODE ?1=YES 0=NO 0输入1返回键盘显示:Program Mode*Fill # View-00输入*99返回键盘绿灯亮,显示:***DISARMED***READY TO ARM主机开始自检,约两分钟后,键盘绿灯亮的情况下,可以布防,试验001防区输入4140 2 进入外出延时布防,键盘蜂鸣器响,将联接001防区的端子上的2K电阻拆下,主机将报警,键盘显示:ALARM 001 表示001防区报警。

实验1石油产品密度的测定(韦氏天平)

实验1石油产品密度的测定(韦氏天平)

实验1 石油产品密度的测定(韦氏天平法)一、实验目的熟练掌握测定密度的方法,正确使用韦氏天平。

二、测定原理韦氏天平法测定密度的基本依据是阿基米德原理。

在20℃时,分别测量同一物体(韦氏天平中的玻璃浮锤),在水及试样中的浮力。

由于浮锤所排开的水的体积与排开的试样的体积相同,所以根据水的密度及浮锤在水及试样中的浮力即可计算出样品的密度。

浮锤排开水或试样的体积:根据密度的定义,可推算出试样的密度:式中 ρ——试样在20℃时的密度,g.cm-3(g.mL-1)ρ1——浮锤浸于水分中时的浮力(骑码)读数,g;ρ2——浮锤浸于试样中时的浮力(骑码)读数,g;ρ0——20℃蒸馏水的密度, 0=0.99820g.cm-3(g.mL-1)。

三、试剂、试样和仪器试剂:乙醇、乙醚试样:汽油或其他石油产品仪器:韦氏天平韦氏天平1-支架;2-支柱紧定螺丝;3-指针;4.-横梁;5-刀口;6-骑码;7-钩环;8-细白金丝;9-浮锤;10-玻璃筒;11-水平调节螺线每台天平有两组骑码,每组有大小不同的四个骑码。

与天平配套使用。

最大骑码的质量等于玻璃浮锤在20℃水中所排开水的质量。

其它骑码各为最大骑码的1/10、1/100、1/1000,四个骑码在各个位置的读数见下表:不同骑码在各个位置的读数四、测定方法1.向玻璃筒内缓慢注入预先煮沸并冷却至20℃的蒸馏水,将浮锤全部浸入水中,不得带入气泡,浮锤不得与筒壁或筒底接触,玻璃筒置于(20.0±0.1℃)的恒温浴中,恒温20min以上,然后由大到小把骑码加在横梁上,使指针水平对齐,记录读数。

2.将玻璃浮锤取出,倒出筒内的水,玻璃筒及浮锤用乙醇洗涤后,并干燥。

3.用试样代替水测定,记录读数,然后计算出密度。

注意事项:(1)测定过程中必须注意严格控制温度。

(2)取用玻璃浮锤时必须十分小心,轻取轻放,一般最好是右手用镊子夹住吊钩,左手垫绸布或清洁滤纸托住玻璃浮锤,以防损坏。

(3)当要移动天平位置时,应把易于分离的零件、部件及横梁等拆卸分离,以免损坏刀口。

定时器实现时钟送数码管显示+独立键盘设置时间

定时器实现时钟送数码管显示+独立键盘设置时间前言 (2)任务书······················································································································3·1.方案选择1.1.键盘设计 (4)1.2.门电路设计 (5)1.3.显示电路 (5)2.硬件电路及参考程序2.1基于proteus仿真的硬件电路 (7)2.2参考程序 (8)3试验调试3.1 硬件电路的连接 (14)3.2.硬件电路的调试 (14)4试验结论 (14)5心得体会 (15)6参考文献及元器件引脚图6.1参考文献 (20)6.2 元器件引脚图 (21)6.3AT89C51的若干时序 (23)6.4硬件电路图 (24)6.5元器件清单 (26)前言随着时代科技的迅猛发展,微电子学和计算机等现代电子技术的成就给传统的电子测量与仪器带来了巨大的冲击和革命性的影响。

Hishare 电脑一拖二试验成功教程【超详细图解】

Hishare 电脑一拖二试验成功教程【超详细图解】今天趁有点空,尝试了一下用Betwin进行多用户操作,经过10次还原系统后,依然失败。

结果一次成功利用了Hishare 4.03实验电脑一拖二,下面就让我和大家讲解一下具体步骤:一、首先要确认显卡有两个视频输出口,现在大多数显卡都有,另外准备多一套USB键盘鼠标。

二、接好两台显示器后,确保两台都能正常输出,下面正式开始设置;三、进入系统后,在桌面空白处单击右键-属性,选择【设置】,如图:选择红色框里的【2】,并勾上下面红色框选项。

按确定后,确保一台正常显示,一台只显示墙纸,而鼠标可以在两屏间自由穿梭。

四、在控制面板-添加新硬件,安装【虚拟狗】(hsdog.inf),如图:单击下一步进行安装。

五、选择【是】,然后单击下一步,如图:六、把滚动条拖到最下面,选择【添加新的硬件设备】,按下一步,如图:七、选择【安装我手动从列表选择的硬件】,单击下一步,如图:八、选择【显示所有设备】,单击下一步,如图:九、选择【从磁盘安装】,如图:十、单击【浏览】,如图:十一、选择hishare文件夹里的hsdog.inf,如图:十二、打开后选择【确定】,如图:十三、继续单击【下一步】,如图:十四、单击【完成】,如图:现在开始安装Hishare。

一、单击Hishare文件夹里的Setup文件,出现如图:单击【下一步】。

二、选择【我同意】,单击下一步,如图:三、选择【是】,然后单击下一步,如图:四、出现对话框,直接单击【确定】即可,如图:五、出现对话框,首先把下面红框的勾去掉,再勾上,出现【兼容驱动程序】信息,单击【确定】,如图:六、打开注册机,在最下面红框框选的文件,然后输入【序列号】,一定要是数字,继续输入电邮和电话,格式一定不能错,否则无法继续,如图:完成后单击【下一步】继续。

七、把刚才注册机的密钥输入,如图:序列号也输入刚才注册时的序列号即可。

八、单击【下一步】,然后会出现用户帐户的窗口,添加两个管理员账号,如图:九、打开软件界面,如图:十、选择键盘和鼠标,就会出现默认分配的键鼠,如图:十一、双击右边第一个,选择如图:把下面的勾勾上。

ps2键盘解码基础实验与串口通信进阶实验

PS2 键盘解码实验一、实验内容:基础实验:ps2键盘通过ps2接口和FPGA相连,通过在开发板上对接收到的键盘扫描码进行接收和解码,将键盘值在数码管上进行显示。

进阶实验:串口通信实验,ps2键盘作为输入,通过FPGA和电脑进行串行通信,将键盘值显示在电脑屏幕上。

二、实验代码:(1)基础实验:module ps2( rst_n,clk,ps2clk,ps2data,sw,seg);input rst_n; //高电平复位信号input clk; //50M固有时钟input ps2clk;//ps2时钟线input ps2data; //ps2数据线output [3:0] sw;//数码管位选择output [7:0]seg;//数码管段选择reg [5:0] num; // 用于循环寄存器reg [7:0] temp_data; //数据暂存reg ps2clk_r0,ps2clk_r1; //用于检测ps2clk时钟下降沿reg [7:0]seg;wire neg_ps2clk;// ps2clk时钟下降沿wire [3:0]sw;wire ps2clk;wire ps2data;//***********检测ps2clk时钟下降沿并存于neg_ps2clk寄存器中************// always @ (posedge clk or posedge rst_n)beginif(rst_n)beginps2clk_r0 <= 1'b1;ps2clk_r1 <= 1'b1;endelsebeginps2clk_r0 <= ps2clk;ps2clk_r1 <= ps2clk_r0;endendassign neg_ps2clk = ~ps2clk_r0 & ps2clk_r1;//**************检测到ps2clk的下降沿则传送一位数据****************// always @ (posedge clk or posedge rst_n)beginif(rst_n)beginnum <= 4'd0;temp_data <= 8'b0000_0000;endelseif(neg_ps2clk)begincase (num)6'd0: num <= num+1'b1;6'd1: beginnum <= num+1'b1;temp_data[0] <= ps2data; //bit0end6'd2: beginnum <= num+1'b1;temp_data[1] <= ps2data; //bit1end6'd3: beginnum <= num+1'b1;temp_data[2] <= ps2data; //bit2end6'd4: beginnum <= num+1'b1;temp_data[3] <= ps2data; //bit3end6'd5: beginnum <= num+1'b1;temp_data[4] <= ps2data; //bit4end6'd6: beginnum <= num+1'b1;temp_data[5] <= ps2data; //bit5end6'd7: beginnum <= num+1'b1;temp_data[6] <= ps2data; //bit6end6'd8: beginnum <= num+1'b1;temp_data[7] <= ps2data; //bit7end6'd9: beginnum <= num+1'b1;end6'd32: beginnum <= 6'd0;enddefault: num<=num+1;endcaseendend//***************************译码显示部分***************************// always @ (temp_data)begincase (temp_data)8'h45: seg <= 8'b0000_0011; //08'h16: seg <= 8'b1001_1111; //18'h1E: seg <= 8'b0010_0101; //28'h26: seg <= 8'b0000_1101; //38'h25: seg <= 8'b1001_1001; //48'h2E: seg <= 8'b0100_1001; //58'h36: seg <= 8'b0100_0001; //68'h3D: seg <= 8'b0001_1111; //78'h3E: seg <= 8'b0000_0001; //88'h46: seg <= 8'b0000_1001; //98'h00: seg <= 8'b1111_1111;default:;endcaseendassign sw = 4'b1011;endmodule(2)进阶实验:1.top模块:module top(clk,rst,ps2clk,ps2data,rs232_tx,ps2_state);input clk;input rst;input ps2clk;input ps2data;output rs232_tx;//串口输出端output ps2_state;//有键按下时数据准备好状态位wire [7:0]dout;//暂存按键的ASSIC码值wire bps_start;//发送启动信号wire clk_bps;//波特率控制信号dfps2m1(.rst(rst),.clk(clk),.ps2clk(ps2clk),.ps2data(ps2data),.ps2_state(ps2_state),.dout(dou t));bote m2(.bps_start(bps_start),.clk(clk),.rst(rst),.clk_bps(clk_bps));chuangkoum3(.clk(clk),.rst(rst),.clk_bps(clk_bps),.rx_int(ps2_state),.rx_data(dout),.bps_start(bps _start),.rs232_tx(rs232_tx));endmodule2.ps2键盘模块:module dfps2( rst,clk,ps2clk,ps2data,ps2_state,dout);input rst;input clk;input ps2clk;input ps2data;output ps2_state;output [7:0]dout;reg [5:0] num;reg [7:0] temp_data;reg ps2clk_r0,ps2clk_r1;reg [7:0]dout;reg ps2_state;wire neg_ps2clk;//*********检测ps2clk时钟下降沿并存于neg_ps2clk寄存器中********// always @ (posedge clk or posedge rst)beginif(rst)beginps2clk_r0 <= 1'b1;ps2clk_r1 <= 1'b1;endelsebeginps2clk_r0 <= ps2clk;ps2clk_r1 <= ps2clk_r0;endendassign neg_ps2clk = (~ps2clk_r0) & ps2clk_r1;//******检测到ps2clk的下降沿则传送一位数据并判断ps2_state的状态*****// always @ (posedge clk or posedge rst)beginif(rst)beginnum <= 4'd0;temp_data <= 8'b0000_0000;ps2_state<=1'b0;endelsebeginif(neg_ps2clk)begincase (num)6'd0: beginps2_state <= 1'b0;num <= num+1'b1;end6'd1: begintemp_data[0] <= ps2data; //bit0ps2_state <= 1'b0;num <= num+1'b1;end6'd2: begintemp_data[1] <= ps2data; //bit1ps2_state <= 1'b0;num <= num+1'b1;end6'd3: begintemp_data[2] <= ps2data; //bit2ps2_state <= 1'b0;num <= num+1'b1;end6'd4: begintemp_data[3] <= ps2data; //bit3ps2_state <= 1'b0;num <= num+1'b1;end6'd5: begintemp_data[4] <= ps2data; //bit4ps2_state <= 1'b0;num <= num+1'b1;end6'd6: begintemp_data[5] <= ps2data; //bit5ps2_state <= 1'b0;num <= num+1'b1;end6'd7: begintemp_data[6] <= ps2data; //bit6ps2_state <= 1'b0;num <= num+1'b1;end6'd8: begintemp_data[7] <= ps2data; //bit7ps2_state <= 1'b0;num <= num+1'b1;end6'd9: beginps2_state <= 1'b0;num <= num+1'b1;end6'd10: beginps2_state <= 1'b1;num <= num+1'b1;end6'd32: beginps2_state <= 1'b0;num <= 6'd0;enddefault: beginps2_state <= 1'b0;num <= num+1;endendcaseendendend//******************将输出键值通码转换成ASSIC码值******************// always @(posedge clk or posedge rst)//Generatebeginif(rst)dout<=8'b0000_0000;elsebeginif(num==6'd10)begincase (temp_data)8'h45: dout <= 8'd48; //08'h16: dout <= 8'd49; //18'h1E: dout <= 8'd50; //28'h26: dout <= 8'd51; //38'h25: dout <= 8'd52; //48'h2E: dout <= 8'd53; //58'h36: dout <= 8'd54; //68'h3D: dout <= 8'd55; //78'h3E: dout <= 8'd56; //88'h46: dout <= 8'd57; //98'h1C: dout <= 8'd65; //A8'h32: dout <= 8'd66; //B8'h21: dout <= 8'd67; //C8'h23: dout <= 8'd68; //D8'h24: dout <= 8'd69; //E8'h2B: dout <= 8'd70; //F8'h34: dout <= 8'd71; //G8'h33: dout <= 8'd72; //H8'h00: dout <= 8'd0;default: dout <= 8'd0;endcaseendendendendmodule3.波特率模块:module bote(bps_start,clk,rst,clk_bps);input rst;input clk;input bps_start;//波特率转换启动信号output clk_bps;//转换后输出信号reg clk_bps;reg [11:0]i;//用于分频计数parameter speed=2603;//波特率控制50M/9600/2//**********************9600bps波特率产生模块*********************// always @(posedge clk or posedge rst)//Generatebeginif(rst)beginclk_bps<=1'b0;i <= 12'b0;endelsebeginif(bps_start)beginif(i==speed)begini <= 12'b0;clk_bps <= ~clk_bps;endelsei<=i+1'b1;endendendendmodule4.串口通信模块:module chuangkou(clk,rst,clk_bps,rx_int,rx_data,bps_start,rs232_tx );input clk;input rst;input clk_bps;//波特率变换后信号input rx_int;//接收准备好信号input [7:0]rx_data;//暂存键值的ASSIC码值output bps_start;//传输启动信号output rs232_tx; //串口输出端口reg [3:0]j; //用于循环寄存器reg clk_bps_r0,clk_bps_r1;// 用于检测clk_bps时钟上升沿reg rs232_tx;reg bps_start;wire posedge_clk_bps; //clk_bps时钟上升沿寄存器//**************检测到clk_bps的上升沿则传送一位数据*************// always @ (posedge clk or posedge rst)beginif(rst)beginclk_bps_r0 <= 1'b0;clk_bps_r1 <= 1'b0;rs232_tx <= 1'b1;bps_start <= 1'b0;j <= 1'b0;endelsebeginclk_bps_r0 <= clk_bps;clk_bps_r1 <= clk_bps_r0;if(rx_int)beginbps_start <= 1'b1;endelse if(bps_start)beginif(posedge_clk_bps)begincase(j)4'd0: beginrs232_tx<=1'b0;j <= j+1'b1;end4'd1: beginrs232_tx<=rx_data[0];j<=j+1'b1;end4'd2: beginrs232_tx<=rx_data[1];j<=j+1'b1;end4'd3: beginrs232_tx<=rx_data[2];j<=j+1'b1;end4'd4: beginrs232_tx<=rx_data[3];j<=j+1'b1;end4'd5: beginrs232_tx<=rx_data[4];j<=j+1'b1;end4'd6: beginrs232_tx<=rx_data[5];j<=j+1'b1;end4'd7: beginrs232_tx<=rx_data[6];j<=j+1'b1;end4'd8: beginrs232_tx<=rx_data[7];j<=j+1'b1;end4'd9: beginrs232_tx<=1'b1;bps_start <= 1'b0;j<=4'b0;enddefault: j<=4'd0;endcaseendendendendassign posedge_clk_bps = clk_bps_r0 & ~clk_bps_r1; endmodule5.管脚约束文件NET"clk" LOC="B8";NET"rst" LOC="H13";NET"ps2clk" LOC="R12";NET"ps2data" LOC="P11";NET"rs232_tx" LOC="P9";三、进阶实验结果:四、实验感想Ps2键盘解码实验持续了近一周,在这一周的实验中,我失败的很多次,但也在一次次的失败中吸取教训,一次次的重复并最终完成实验达到预期的效果,在这次实验中收获了很多。

测控系统原理与设计实验指导书

电气工程学院《测控系统原理与设计》实验指导书王民慧,王武编写适用专业:测控技术与仪器贵州大学二O一六年八月前言本课程的基本内容介绍,通过学习学生需要掌握的基本知识。

“测控系统原理与设计”是测控技术及仪器专业的一门重要课程。

主要研究测控系统的组成原理、设计技术和应用方法。

课程涉及微机接口、标准总线、数据处理方法、测量控制算法、故障诊断以及测控系统的典型实例分析。

目的是让学生掌握测控系统的设计、开发方法,适应现代测控仪器发展的要求。

本课程的主意内容有:测控系统的构成、微机接口电路及其编程、标准总线、数据处理技术、监控程序设计方法、测控系统自检和故障诊断、测控系统总体设计和开发、以及智能化测量控制仪表的典型实例分析。

为使学生加深对测控系统原理基础理论的理解,掌握测控系统接口电路设计的基本方法,掌握基本的人—机接口软件编写方法,掌握用Proteus软件进行仿真试验的方法,为今后进行测控系统的设计打下坚实的基础。

本课程设置了如下实验:实验一 Proteus使用(验证性,2学时)通过实验熟悉Proteus的界面和使用,掌握用Proteus对模拟电路、数字电路和单片机进行仿真的方法。

实验二开关量输入通道实验(验证性,2学时)用一个按键模拟开关的接通和断开动作,将此开关信号送入51单片机,51单片机将收到的开关量信号用发光二极管显示。

实验三 ADC0809与MCS—51单片机接口实验(设计性,2学时)利用实验板上的ADC0809做A/D转换器,用电位器提供模拟量输入,编制程序,将模拟量转换成二进制数字量,用发光二极管显示。

实验四 DAC0832与MCS—51单片机接口实验(设计性,2学时)利用DAC0832,编制程序产生三角波,用示波器观看输出波形。

实验五按键控制液晶显示实验(综合性,2学时)利用按键和LCD1602进行电路设计,通过相应按键实现选择数字位的状态,并控制数字增加和减少的功能。

实验六键盘、LED显示实验(综合性,2学时)通过实验掌握键盘、显示器的接口方法;掌握键盘子程序调试方法,掌握按一个键并将其键值显示出来的方法。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

定时器实验
实验内容
例程 动态数码显示模块显示“168168” 。
画出实验例程的流程图。 修改程序,实现八位LED数码管只显示其中的
两位。 将显示改成闪烁显示模式,频率约1hz。
查询式键盘实验
实验目的
掌握键盘和显示器的接口方法和编程方法; 掌握键盘和八段码显示器的工作原理; 掌握静态显示的原理和相关程序的编写;
实验说明
实验箱提供了8个按钮的小键盘,可接到单片机的 并行口,如果有键按下,则相应输出为低,否则输出 为高。单片机通过识别,判断按下什么键。有键按下 后,要有一定的延时,防止由于键盘抖动而引起误操 作。
音频驱动实验
实验内容:
例程 在查询式键盘模块上按下某个键,观察数
码管显示是否与按键值一致,键值从右至左为 0~7。 设计长按功能,当按键时间长于3秒时,个位上 的数字以一定速率增加,直至按键释放。
动态扫描显示实验
实验目的
掌握数字、字符转换成显示段码的软件译码方法; 动态显示的由8个共阴极 LED数码管构成。单片机P0口输出显示段码,经由一 片74LS245驱动输出给LED管,由P1口输出位码,经 由74LS06输出给LED管。
相关文档
最新文档