三层电梯控制器实验报告

三层电梯控制器实验报告
三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器

课程名称:数字电路与系统设计

学院(系):电子信息与电气工程学部

专业:

班级:

学生姓名:

学号:

完成日期:2012-7-5

成绩:

2012 年7 月05 日

题目:三层电梯控制器

1 设计要求

随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。

电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。

电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下:

(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。

(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。

(3)电梯每秒升(降)一层楼。

(4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。

(5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。

(6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。

(7)电梯初始状态为一层开门。

(8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。

(9)电梯到达指定楼层时有声音提示。

2 设计分析及系统方案设计

电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

据电梯的实际工作情况可以把状态机设置为10个状态,分别是“电梯停留在一层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第2秒”、“开门等待第3秒”、“开门等待第4秒”、“上升”、“下降”和“停止”状态。各个状态之间的转换条件可由设计要求所决定。

控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。

三层电梯控制器的实体设计

首先考虑输入端口,一个异步复位端口reset,用于在系统不正常时回到初始状态;在电梯外部,必须有升降请求端口,一层是最低层,不需要有下降请求,三层是最高层,不需要有上升请求,二层则上升、下降请求端口都有;在电梯的内部,应该设有各层停留的请求端口:一个电梯时钟输入端口,该输入时钟以1秒为周期,用于驱动电梯的升降及开门关门等动作;另有一个按键时钟输入端口,时钟频率比电梯时钟高。

其次是输出端口,有升降请求信号以后,就得有一个输出端口来指示请求是否被响应,有请求信号以后,该输出端口输出逻辑‘1’。被响应以后则恢复逻辑‘O’;同样,在电梯内部也应该有这样的输出端口来显示各层停留是否被响应;在电梯外部,需要一个端口来指示电梯现在所处的位置;电梯开门关门的状态也能用一个输出端口来指示;为了观察电梯的运行是否正确,可以设置一个输出端口来指示电梯的升降状态。

三层电梯控制器的结构体设计

首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stopon1)、开门(dooropen)、关门(doorclose)、开门等待第1秒(waitl)、开门等待第2秒(wait2)、开门等待第3秒(wait3)、开门等待第4秒(wait4)、上

升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。

type state_type is

(stopon1,dooropen,doorclose,waitl,wait2,wait3,wait4,up,down,stop);

signal state_type:state;

在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程,另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态机进程中传出的clearup和cleardn信号来控制。

在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对信号的判断,决定电梯是上升、下降还是停止。

在信号灯控制进程中,由于使用了专门的频率较高的按键时钟,所以使得按键的灵敏度增大,但是时钟频率不能过高,否则容易使按键过于灵敏。按键后产生的点亮的信号灯(逻辑值为‘1’)用于作为状态机进程中的判断条件,而clearup和cleardn信号为逻辑‘1’使得相应的信号灯熄灭。

3系统以及模块硬件电路设计

系统电路如图2所示。

4 系统的VHDL设计

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity flift is

port(clk: in std_logic; --系统时钟

reset: in std_logic; --异步复位按键

caution: in std_logic; --报警按键

up1: in std_logic; --第一层上升请求按钮

up2: in std_logic; --第二层上升请求按钮

down2: in std_logic; --第二层下降请求按钮

down3: in std_logic; --第三层下降请求按钮

stop1,stop2,stop3: in std_logic;--电梯内部请求按钮

uplight: buffer std_logic_vector(3 downto 1); --电梯外部上升请求指示灯

downlight: buffer std_logic_vector(3 downto 1); --电梯外部下降请求指示灯

stoplight: buffer std_logic_vector(3 downto 1);--电梯内部各层请求指示灯

position:buffer integer range 1 to 3; --电梯位置指示

location:out std_logic_vector(6 downto 0); --电梯位置数码管显示

udsig:buffer std_logic; --电梯升降指示

doorlight:buffer std_logic; --电梯门开关指示灯

dlight1,dlight2,dlight3:out std_logic_vector(6 downto 0);--电梯门开关数码管显示

udlight1,udlight2:out std_logic_vector(6 downto 0)); --电梯升降数码管指示end flift;

architecture behav of flift is

type state_type is

(stopon1,dooropen,doorclose,waitl,wait1,wait2,wait3,wait4,up,down,stop);

signal state:state_type:=stopon1;

signal clearup:std_logic; --用于清除上升请求指示灯信号signal cleardn:std_logic; --用于清除下降请求指示灯信号

signal buttonclk,fliclk:std_logic; --按键时钟与电梯时钟

signal count: integer range 0 to 49999999;--计数器

signal clk1: std_logic; --计数器时钟

begin

process(clk) --1秒时钟进程

begin

if reset='1' then

count<=0;clk1<='0';

elsif rising_edge(clk) then

if count=49999999 then

count<=0;clk1<='1';

else count<=count+1;clk1<='0';

end if;

end if;

buttonclk<=clk;

fliclk<=clk1;

end process;

process(reset,fliclk) --控制电梯状态进程

variable pos:integer range 3 downto 1;

begin

if reset='1' then

state<=stopon1;

clearup<='0';

cleardn<='0';

elsif rising_edge (fliclk)and caution/='1' then

case state is --状态转移

when stopon1=>doorlight<='1';

position<=1;

pos:=1;

state<=wait1;

when waitl=>state<=wait2;

when wait2=>clearup<='0';cleardn<='0';state<=wait3;

when wait3=>state<=wait4;

when wait4=>state<=doorclose;

when doorclose=>doorlight<='0';

if udsig='0' and caution/='1' then --上升情况

if position=3 then --电梯在三楼

if stoplight="000"and uplight="000"and downlight="000" then

udsig<='1';state<=doorclose;

elsif stoplight="100" or uplight="100" or downlight="100" then

udsig<='1';state<=dooropen;

else

udsig<='1';state<=down;

end if;

elsif position=2 then --电梯在二楼

if stoplight="000"and uplight="000"and downlight="000" then

udsig<='0';state<=doorclose;

elsif state=doorclose and stoplight="010" then

state<=dooropen;

elsif state=doorclose and uplight="010" then

udsig<='0';state<=dooropen;

elsif state=doorclose and downlight="010" then

udsig<='1';state<=dooropen;

elsif stoplight(3)='1' or downlight(3)='1' then

udsig<='0';state<=up;

else

udsig<='1';state<=down;

end if;

elsif position=1 then --电梯在一楼

if stoplight="000"and uplight="000"and downlight="000" then udsig<='0';state<=doorclose;

elsif stoplight="001" or uplight="001" or downlight="001" then udsig<='0';state<=dooropen;

else

udsig<='0';state<=up;

end if;

end if;

end if;

if udsig='1' and caution/='1' then --下降情况

if position=1 then --电梯在一楼

if stoplight="000"and uplight="000"and downlight="000" then udsig<='0';state<=doorclose;

elsif stoplight="001" or uplight="001" or downlight="001" then udsig<='0';state<=dooropen;

else

udsig<='0';state<=up;

end if;

elsif position=2 then --电梯在二楼

if stoplight="000"and uplight="000"and downlight="000" then udsig<='1';state<=doorclose;

elsif state=doorclose and stoplight="010" then

state<=dooropen;

elsif state=doorclose and uplight="010" then

udsig<='0';state<=dooropen;

elsif state=doorclose and downlight="010" then

udsig<='1';state<=dooropen;

elsif stoplight(1)='1'or uplight(1)='1' then

udsig<='1';state<=down;

else

udsig<='0';state<=up;

end if;

elsif position=3 then --电梯在三楼

if stoplight="000"and uplight="000"and downlight="000" then udsig<='1';state<=doorclose;

elsif stoplight="100" or uplight="100" or downlight="100" then udsig<='1';state<=dooropen;

else

udsig<='1';state<=down;

end if;

end if;

end if;

when up=>position<=position+1;pos:=pos+1;

if pos=2 and(stoplight(3)='1'or downlight(3)='1') then state<=up;

else

state<=stop;

end if;

when down=>position<=position-1;pos:=pos-1;

if pos=2 and(stoplight(1)='1'or uplight(1)='1') then state<=down;

else

state<=stop;

end if;

when stop=>state<=dooropen;

when dooropen=>doorlight<='1';

clearup<='1';

cleardn<='1';

state<=waitl;

when others=>state<=doorclose;

end case;

end if;

end process;

process(clk) --控制按键指示灯进程

begin

if reset='1' then

stoplight<="000";uplight<="000";downlight<="000";

elsif rising_edge(buttonclk) and caution/='1' then

if clearup='1' then

stoplight(position)<='0';uplight(position)<='0';

else

if up1='1'then uplight(1)<='1';end if;

if up2='1'then uplight(2)<='1';end if;

end if;

if cleardn='1' then

stoplight(position)<='0';downlight(position)<='0';

else

if down2='1'then downlight(2)<='1';end if;

if down3='1'then downlight(3)<='1';end if;

end if;

if stop1='1' then stoplight(1)<='1';end if;

if stop2='1' then stoplight(2)<='1';end if;

if stop3='1' then stoplight(3)<='1';end if;

end if;

end process;

process(position) --楼层数码管显示进程

begin

case position is

when 1=>location<="1111001";

when 2=>location<="0100100";

when 3=>location<="0110000";

end case;

end process;

process(doorlight) --电梯门数码管显示进程

begin

case doorlight is

when '1'=>dlight1<="1001000";dlight2<="1000000";dlight3<="1111111";

when '0'=>dlight1<="0001110";dlight2<="0001110";dlight3<="1000000";

end case;

end process;

process(udsig) --电梯升降显示进程

begin

case udsig is

when '0'=>udlight1<="0001100";udlight2<="1000001";

when '1'=>udlight1<="0101011";udlight2<="0100001";

end case;

end process;

end behav;

5 结论以及结果说明

调试环境:软件:Altera’s Quartus II

硬件:Altera DE2 Board

参数:clk为50MHz信号。

系统运行结果:系统运行良好。

参考文献

[1]刘爱荣,王振成,曹瑞,卢印举,等.EDA技术与CPLD/FPGA开发应用简明教程[M].北京:清华大学出版社,2007. 267-274页.

[2]郑燕,赫建国.基于VHDL与Quartus II软件的可编程逻辑器件应用与开发[M].北京:国防工业出版社,2011.

一种矢量伺服电梯门机控制器说明书

CABR Construction Machinery Technology Co.,Ltd 矢量伺服门控制器 用户手册 CABR-SCSE-01矢量伺服门控制器 200V级 为了安全使用本产品,请务必阅读该说明书,理解使用方法后,正确使用。请妥善保管该说明书,并将其交至最终用户手中。 LangFang CABR Construction Machinery Technology Co.,Ltd.

前言 感您使用CABR-SCSE系列矢量伺服门控制器! 本使用说明书详细叙述了关于CABR-SCSE系列矢量伺服门控制器在安装、运行过程中的相关使用指导及注意事项。 在使用前,请认真阅读本使用说明书,理解并掌握使用方法后,正确使用。

拿到产品时确认 ●受损或缺少部件的矢量伺服门控制器,切勿安装! 注意 ●搬运时,请托住机体底部。 ●请安装在金属等阻燃物体上。 ●请不要安装在可燃物附近。 ●安装过程中,请避免碎棉纱、纸、木屑、金属、液体等异物侵入矢量伺服门 控制器或附着在矢量伺服门控制器上。 危险 ●请勿拆卸矢量伺服门控制器的外壳,有高压,有触电危险! ●接线前,请确认输入电源是否处于断开状态! ●接线作业必须由电气工程人员进行! ●接地端子请一定要可靠接地! 警告 ●请确认供电电源的电压与矢量伺服门控制器的额定电压是否一致,否则可能 损坏设备或引发火灾! ●请勿对矢量伺服门控制器进行耐电压试验! ●请勿将供电电源线接到矢量伺服门控制器的U、V、W端子上! ●通电中,请勿变更接线或拆装端子!

目录 一、矢量伺服门控制器简介 (1) 1、矢量伺服门控制器型号和铭牌的确认 (1) 2、产品的外观 (2) 二、安装说明 (3) 1、使用环境 (3) 2、安装 (3) 3、螺钉规格 (4) 4、配线注意事项 (4) 5、主电路、接地端子的配线 (5) 6、控制电路端子的配线 (5) 7、通讯端子的配线 (5) 三、操作说明 (6) 1操作面板各部分的名称和功能 (7) 2电机参数调谐 (8) 3全闭环位置控制 (9) 4门宽自学习 (10) 5运行曲线调整 (11) 6正常运行和试运行 (12) 7 堵转报警调整 (12) 8力矩调整 (12) 9 速度调整 (13) 10 收放门刀微调 (13) 11功能代码 (13) F1.基本参数 (13) F2.距离参数 (13) F3.速度参数 (14) F4.时序参数 (14) F5.扭矩参数 (14) U1.状态监视 (15) U2.端子监视 (15) U3.故障记录 (16) U4.当前故障信息记录 (16) OP:系统操作参数 (17) 12故障诊断及对策 (17)

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

日立电梯控制技术介绍

电梯控制技术介绍 一、电梯技术要求 1、电梯性能指标 2、电梯型号 二、电梯机械产件整体简单介绍

电梯系统的组成情况基本相同,主要由曳引系统、导向系统、轿厢系统、门系统、对重装置系统、安全保护系统、电气控制及信号系统等组成。其中UAX无机房电梯将曳引机、控制柜及限速器置于井道内,取消了专用的机房设置。 NPX、NPH、GVF、NF电梯的整体结构图——见有机房电梯整体结构图 UAX电梯的整体结构图——见无机房电梯整体结构图 1、曳引系统: 功能:输出与传送动力,使电梯运行。 组成:主要由曳引机、曳引绳、导向轮、反绳轮等组成。 曳引机:包括电动机、制动器和曳引轮在内的靠曳引绳和曳引轮槽摩擦力驱动或停止电梯的装置。 曳引绳:连接轿厢和对重装置并靠与曳引轮槽的摩擦力驱动轿厢升降的专用钢丝绳。 导向轮:为增大轿厢与对重之间的距离,使曳引绳经曳引轮再导向对重装置或轿厢一侧而设置的绳轮。 反绳轮:在轿架和对重框架上部的动滑轮。根据需要曳引绳绕过反绳轮可以构成不同的曳引比。 2、导向系统: 功能:限制轿厢和对重的活动自由度,使轿厢和对重只能沿着导轨作升降运动。 组成:由导轨、导靴和导轨支架组成。 导轨:供轿厢和对重运行的导向部件,由钢轨和连接板组成。 导靴:设置在轿架和对重装置上,使轿厢和对重装置沿导轨运行的导向装置。 导轨支架:固定在井道壁或横梁上,支撑和固定导轨用的构件。 3、轿厢: 功能:用以运载乘客或其他载荷的轿体部件。 组成:由轿架和轿厢组成。 轿架:固定和支撑轿厢本身和运载重量的承重框架,一般由上梁、立柱、底梁等组成。

轿厢:是电梯的工作容体,具有与载重量和服务对象相适应的空间。由轿底、轿壁、轿顶、装饰顶等组成。 4、门系统: 功能:封住层站出入口和轿厢出入口。 组成:由轿门、层门、开门机、门套等组成。 轿门:设在轿厢入口的门,由门板、轿门导轨架、轿厢地坎等组成。 层门:设在层站入口的门,又称厅门,由门板、层门导轨架、层门地坎、层门联动机构等组成。 开门机:使轿厢门和层门开启或关闭的装置。 门套:装饰层门门框的部件,主要起定位及保证与门扇之间的间隙符合安全标准要求的作用。 5、对重装置系统: 功能:用来平衡全部轿厢质量和一部分额定载重量。 组成:由对重块和对重框架等组成。 对重装置:由曳引绳经曳引轮与轿厢相连接,在运行过程中起平衡作用的装置。 6、安全保护系统: 功能:保证电梯安全使用,防止一切危及人身安全的事故发生。 组成:主要由限速器、安全钳、缓冲器、门锁等组成。 限速器:当电梯的运行速度超过额定速度一定值时,其动作能导致安全钳起作用的安全装置。 安全钳:限速器动作时,使轿厢或对重停止运行保持静止运行状态,并能夹紧在导轨上的一种机械安全装置。 缓冲器:位于行程端部,用以吸收轿厢动能的一种弹性缓冲安全装置。 门锁装置:轿门与层门关闭后锁紧,同时接通控制回路,轿厢方可运行的机电联锁安全装置。 极限开关:当轿厢运行超越端站停止装置时,在轿厢或对重装置未接触缓冲器之前,强迫切断主电源和控制电源的非自动复位的安全装置。

基于plc的三层电梯控制系统设计

摘要 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用PLC组成的控制系统可以很好地解决上述问题。本论文通过讨论电梯控制系统的组成,阐述可编程控制器(用三菱PLC编程的程序控制方式,提出了三层电梯的程、组成,列出了具体的主要硬件电路、电梯的控制梯形图及指令表。并给出了系统组成框图和程序流程图,在分析、处理随机信号逻辑关系的基础上,提出了计了一套完整的电梯控制系统方案。触点多,故障率高、可靠性差、安装调试周期长、维修工作量大、接线复杂等缺点。使电梯运行更加安全、方便、舒适。在PLC课程设计中,我组设计了一个三层电梯控制系统,并且将西门子公司S7-200系列可编程控制器与其结合并应用起来,在学完《电气控制与PLC应用》课程后,我们在设计过程中较为得心应手,不至于从头开始。整个过程包括了方案讨论,程序设计,程序修改,上机调试等,在程序设计方面花了比较多的时间,主要考虑到电梯分别停在一层、二层和三层时在其他楼层呼叫等各种情况。每当遇到困难时,我组都积极与老师联系讨论,深入分析研究问题,在整个过程中,我与我的组员都相互配合,相互学习。 关键字:PLC;电梯;升降;梯形图;系统组成框图

In this paper The elevator is an indispensable means of transport for the high-rise building, used for vertical transporting passengers and cargo, the traditional elevator control system mainly adopts relay - contactor to control, its shortcomings is the number of contact, such as high failure rate and poor reliability, maintenance workload is big, and composed of PLC control system is a good way to solve the above problems. Through discussing the composition of the elevator control system, this paper expounds the programmable controller (with mitsubishi PLC programming way of process control, puts forward the three layers of elevator ride, and lists the specific of the main hardware circuit, elevator control ladder diagram and instruction list. And the system composition block diagram and program flow chart is given, based on the analysis, processing, on the basis of random signal logic relation, put forward the plan for a complete set of the elevator control system scheme. Contact, high failure rate, poor reliability, installation and debugging cycle is long, maintenance workload, such as complex wiring faults. Make the elevator running more safe, convenient and comfortable. In the PLC course design, I have come up with a three layers of elevator group control system, and the Siemens S7-200 series programmable controller and its application and combining, after completing the curriculum, electrical control and PLC application we in the design process is relatively with ease, not from the beginning. The whole process including the solution discussion, program design, program changes, computer debugging, etc., spent more time on program design, main consideration to the elevator stop on the first floor, respectively the second and third floors in other situations such as floor call. Whenever encounter difficulties, I actively

汇编实验报告(详细版)

计算机组成与汇编语言(实验报告) 内容: 实验一、六、七、八 院系专业:计算机学院计算机科学与技术 姓名:xxxxxxxxx 学号: 2011004xxxxx 完成时间:2012年12月1日

计算机组成与汇编语言实验报告 姓名xxxx 学号2011004xxxxx 计分 专业软件工程班级xxxx 实验日期2012年 12 月 1日实验名称实验一数制转换 实验目的 ●熟悉各种进制数据之间的相互转换方法。 ●掌握二-十进制数据的相互转换程序设计。 实验内容 1.将编写好的程序1输入、编译、连接并运行。 程序1清单 #include #include #include void main() { int i,l,s0=0,s=0; char a[17]; while(l!=16) { printf("请输入一个16位的二进制数:\n"); gets(a); l=strlen(a); for(i=0;i<16;i++) { if(a[i]!='0'&&a[i]!='1') {

printf("输入的二进制数不正确!!"); break; } } } if(a[15]=='1') s++; for(i=1;i<16;i++) { if(a[15-i]=='1') s+=(1<

说明:如果不是16位二进制则会提示错误。 2.将编写好的程序2输入、编译、连接并运行。 程序2清单 #include #include void main() { int t0,t1,t2,t3,i,j; int a[16]; printf("请输入一个十进制数:"); scanf("%d",&t0); t1=t0; for(i=0;i<16;i++) { t2=t1/2; if(t2>1) a[i]=t1%2; else if(t1==1) { a[0]=1; for(i=1;i<16;i++) a[i]=0; } else if(t1==2) { a[i]=0; a[i+1]=1; for(j=i+2;j<16;j++)

最新华起电梯控制器使用手册

华起电梯控制器使用 手册

建筑智能化解决方案资料

建筑智能化解决方案 电梯控制器使用手册 (第一版)

第1章产品功能介绍 1.1 产品简介 本电梯控制器采用非接触式IC/ID 卡感应技术进行身份识别,可实现人员进出电梯的控制。支持WG读头和485读头,当持卡人进入电梯后,在感应器上刷卡,电梯控制器判断此卡是否有权限、时限,如果有权则允许登梯,无权不能登梯。对于只有单层权限的用户,刷卡后无须按键,电梯直达用户有权限的那一楼层,对于具有多层权限的用户,刷卡后电梯控制器释放用户有权限的楼层按键,等待用户按键(等待时间5—6秒)。电梯控制器主板可控制16个楼层,增加一块扩展板可增加控制16个楼层,总共可控制32个楼层,如果楼层在16层以内,就不需要增加扩展板。本电梯控制器与计算机之间采用RS-485 方式通讯,还可与中继器配合使用,灵活组成不同应用规模的电梯控制系统。 1.2 产品功能特点 ?读头支持WG读头和485读头,WG读头接口,能够智能识别标准WIEGAND 26/32/40协议格式,485读头接口只能接公司生产的485读头,读头接口提供5VDC 或12VDC 读头工作电源,兼容性强; ?兼容多种技术生物识别技术、指纹识别技术、密码键盘、感应式IC、ID卡;?乘梯验证方式验证权限时限乘梯,无权或者不在有限时限内不能乘电梯;?远程控制可以远程控制电梯到达某一层; ?时限灵活设置支持256个时间段,16个时间组,128个节假日; ?定时启用、关闭电梯控制器支持非节假日定时启用、关闭电梯控制器,在关闭状态下无须刷卡即可乘电梯;

?消防联动接收一路常闭消防联动输入信号,当消防信号启动后,电梯控制器屏蔽刷卡功能,电梯恢复到原状态,即不需要刷卡就可以乘电梯(提供的消防信号应该是无源的干接点信号),消防信号输入端口在出厂时已短接; ?脱机工作采用“分散控制、集中管理”的原则设计,设定好控制器的参数、权限、时限后,各控制器可脱离通讯网络和管理计算机单独运行,可靠性高; ?掉电保护采用先进的NRAM 实时时钟模块,掉电后时钟不紊乱,记录数据采用EEPROM存储,掉电后数据可保存10 年不变; ?双隔离电源配有专用电源,两组独立回路供电,内部电路和外部电路使用不同电源,稳定性好,抗干扰性强,可靠性高; ?有源隔离通讯通讯接口采用有源隔离方式,减少通讯干扰; 第2章产品说明 2.1 系统结构 TCP/I

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

汇编实验报告

南华大学 实验名称:汇编语言程序设计实验 学院:计算机学院 专业班级:本2010 电气信息类03班 学号:20104030342 姓名:谢志兴 指导教师:刘芳菊 日期:2012 年 6 月10 日

实验一DEBUG的熟悉 一、实验目的 (1)学习使用DEBUG的命令; (2)使用DEBUG命令在数据段中查看程序运行的结果; (3)利用DEBUG运行简单的程序段。 二、实验内容 1)输入程序观察寄存器变化 使用DEBUG命令,将下面的程序段写入内存,逐条执行,观察每条指令执行后,CPU中相关寄存器的内容变化。注意用T命令执行时,CS: IP寄存器的内容。 MOV AX, 4E20 ADD AX, 1416 MOV BX, 2000 ADD AX, BX MOV BX, AX ADD AX, BX MOV AX, 001A MOV BX, 0026 ADD AL, BL ADD AH, BL ADD BH, AL MOV AH, 0 ADD AL, BL ADD AL, 9C 2)输入下面的程序,这是一个两个数相与的程序。结果存放在MSG2单元中,偏移地址为?值为多少? DSEG SEGMENT MSG1 DW 7856H, 2038H MSG2 DW? DSEG ENDS CSEG SEGMENT ASSUME CS: CSEG, DS: DSEG

START: MOV AX, DSEG MOV DS, AX MOV AX, MSG1 AND AX, MSG1+2 MOV MSG2, AX MOV AL, 0 MOV AH, 4CH INT 21H CSEG ENDS END START 程序的跟踪执行操作 在DOS下直接输入文件主名就可以执行文件了,有的程序会显示结果,可能执行后什么结果都没有,是因为程序中没有显示命令。那么如何查看程序的运行结果呢? 程序执行过程的跟踪操作步骤如下: (1)在DOS下输入:DEBUG 文件名.EXE (2)在DEBUG提示符下输入U命令 如果程序中有数据段,可以看到反汇编后第一句可执行语句为: A地址:B地址MOV AX, K地址如:1261:0000 MOV AX, 1260 其中:K地址就是数据段的段寄存器内容,A地址为代码段段寄存器地址,B地址为程序第一条指令的偏移地址。 (3)可以用T命令单步执行指令,执行到MOV AH, 4CH时结束,也可以用G命令执行整个程序,输入:G=B地址(如:G=0000) (4)用D命令查看程序执行后数据段的变化 输入:D K地址:0 (如:D1260:0)

电梯门机控制器使用说明书

安全注意事项 在安装和设备投入运行前,请仔细阅读安全说明和警告,避免对人员、设备造成伤害及损坏。 本说明书有关安全注意事项的的等级分为“注意”和“危险”两级。 注意 危险 :错误使用会有危险,可能会造成 轻伤、中度伤害或财物损失。 :错误使用会有危险,可能会 致人重伤、残废甚至死亡以及 重大财物损失。 1.确认及安装 注意 ●受损的变频器和零部件不全的变频器,切勿安装。 ●请安装在金属等不易燃烧的材料上,以免发生火灾。 ●安装时请不要抓在外壳或两端接线端子上,当心掉下来压脚,有受伤的危险。 ●请确定已安装牢靠。否则,可能会掉下来砸伤人。 2.布线 注意 ●请确认交流主回路电源的电压与变频器的额定电压是否一致。切勿对变频 器进行耐电压试验。 ●请勿将电源接到输出U、V、W端子上。

危险 ●接线前,请确认输入电源是否处于OFF状态。 ●只允许连接交流单相220V的输入电源,本设备必须接地(NEC和其它应用标准)。, ●非专业电气工程人员,请勿进行接线作业。 3.操作和运行 注意 ●因散热片温度会变得很高,所以,请不要触摸,以免被烫伤。 ·请勿随意变更变频器的设定,本变频器在出厂时已经进行了适当的设定。危险 ●请务必在装上外壳后再接通电源。接通电源前请将开关置于OFF位置。 ●变频器通电时,即使在停机状态也不要触摸变频器的端子,以免触电。4.维护和检查 危险 ●检查要在切断电源5分钟后进行,否则有触电的危险。 ●检查和维护要由专业人员进行 ●变频器的端子在通电情况下切勿触摸,端子上有高电压,非常危险。 ●进行检查、维护作业时请使用绝缘工具,并避免手上佩带手表、戒指等金属物。 5.其它 危险 ●绝对禁止对变频器进行改造,以免发生伤亡事故。

三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器 课程名称:数字电路与系统设计 学院(系):电子信息与电气工程学部 专业: 班级: 学生姓名: 学号: 完成日期:2012-7-5 成绩: 2012 年7 月05 日

题目:三层电梯控制器 1 设计要求 随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。 电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。 电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下: (1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 (2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每秒升(降)一层楼。 (4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。 (7)电梯初始状态为一层开门。 (8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。 (9)电梯到达指定楼层时有声音提示。 2 设计分析及系统方案设计 电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

电梯控制系统方案

深圳市博思凯电子有限公司XX小区 BOSK电梯管理系统方案

目录 第一章概述 (3) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (5) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (6) 4.3系统结构 (8) 第五章设备介绍 (16) 8.DPU-9906楼层信号采集器 (18) 第六章工作原理 (20) 第七章系统设备清单及价格 (23) 第八章工程实施 (24) 第九章售后服务 (26) 第十章质量保证 (27)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。LMS9905是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用LMS9905对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 对于访客管理,采用与对讲系统联动控制,即访客接通住户室内分机通话后,住户通过室内分机旁的电梯按钮将信号发送给IC卡层控电梯系统,系统接收到住户的开梯信号后,启动电梯下到一层,并开放住户层(其它层不开放),访客即可进入轿箱按下住户层键,启动电梯只上到住户层。 通过IC卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级。 LMS9905基于ELSECURE-lift控制软件平台使用的一个控制模块,它与DPU 系列门禁控制系统相互兼容,可以与DPU9906控制器结合对讲系统,组成一个强大的保安系统网络,来对访客进行有效使用的管理。也可以独立使用来控制电梯。LMS9905可在线运行,可以单机独立运行,即使关闭PC机,LMS9905也可以正

汇编实验报告

XXXX大学 实验报告 课程名称汇编语言程序设计成 绩 实验学时 评语: 指导教师: 年月日 班级: 学号: 姓名: 地点: 时间:

实验一汇编语言编程实验 一、实验目的 (1)掌握汇编语言的编程方法 (2)掌握DOS功能调用的使用方法 (3)掌握汇编语言程序的调试运行过程 二、实验内容 1. 将指定数据区的字符串数据以ASCII码形式显示在屏幕上,并通过DOS功能调用完 成必要提示信息的显示。 2. 在屏幕上显示自己的学号姓名信息。 3. 循环从键盘读入字符并回显在屏幕上,然后显示出对应字符的ASCII码,直到输 入”Q”或“q”时结束。 4. 实验中使用的DOS功能调用: INT 21H AH 值功能调用参数结果 1 键盘输入并回显AL=输出字符 2 显示单个字符(带Ctrl+Break检查) DL=输出字符光标在字符后面 6 显示单个字符(无Ctrl+Break检查) DL=输出字符光标在字符后面 8 从键盘上读一个字符AL=字符的ASCII码 光标跟在串后面 9 显示字符串DS:DX=串地址, ‘$’为结束字符 4CH 返回DOS系统AL=返回码 例如,实现键盘输入并回显的完整代码: MOV AH 01H INT 21H 三、实验结果 1. 将指定数据区的字符串数据”Let us go !”以ASCII码形式显示在屏幕上,并通过 DOS功能调用完成必要提示信息的显示: 2. 在屏幕上显示自己的学号姓名信息 3.循环从键盘读入字符并回显在屏幕上,然后显示出对应字符的ASCII码,直到输 入”Q”或“q”时结束。

四、实验原理 DATA SEGMENT MES DB 'NUMBER: 03099018 ',0AH,0DH,'NAME: Feng Xiaokang',0AH,0DH,'$' CENT DB 'Let us go !','$' ENTE DB 0AH,0DH,'$' INFO DB 'Origin: ','$' ASCI DB 'ASCII : ','$' MSG1 DB 'If you want to quit please press...q/Q...',0AH,0DH,'$' MSG2 DB 0AH,0DH,'Char: $' RS DB ' ASCII: $' SD DB '' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA START: MOV AX,DATA ;存储数据 MOV DS,AX MOV DX,OFFSET MES ;显示数据 MOV AH,09H INT 21H MOV DX,OFFSET MSG1 MOV AH,09H INT 21H MOV DX, OFFSET CENT MOV SI, DX T: MOV AL,[SI] CMP AL,'$' JZ C1 AND AL,0F0H ;取高4位 MOV CL,4 SHR AL,CL CMP AL,0AH ;是否是A以上的数 JB A ADD AL,07H A: ADD AL,30H MOV DL,AL ;show character MOV AH,02H INT 21H

plc实验报告之三层电梯的运行

电气控制综合实验报告 项目:三层电梯PLC控制系统 班级:电132 姓名: 学号: 联系方式: 学期:2015-2016-2 第一章实验过程记录以及调试步骤及方法 1.1实验过程记录 1.好好复习老师之前讲的一些基本的电路,例如轿厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。

2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真,看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分: a.打开计算机; b.打开GX Works2软件,将自己编写好的程序拷入电脑并打开; c.将PLC调为由RUN拨到STOP状态,然后将程序写入PLC; d.将PLC调为RUN(即运行状态)状态,然后打开组态; e.运行组态,点击启动; f.开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要 求; 1.2实验调试步骤及方法 1.调试软件GX Works2介绍 GX Work2是三菱电机推出的三菱综合PLC编程软件,是专用于PLC设计、调试、维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图1.2.1:

DTM-04电梯控制器产品说明(楼层型)资料

DTM-04电梯控制器产品说明 1. 产品图片 DTM-04读卡面板 DTM-04控制箱 2. 产品特点 2.1. 特征 电梯控制器不仅适用于酒店电梯管理、办公楼电梯管理和其他类型电梯控制管理,而且使用于一卡通智能管理系统。电梯能通过Mifare卡进行控制和管理。通过在服务器端制作设置卡,可设置电梯参数,开门卡能进入所选择的楼层,而且员工工作时间段和电梯工作时间段也能设置。 2.2. 功能介绍 ●实现酒店门锁与电梯控制器之间相互关联,利于管理 ●可对电梯控制器进行设置,有受控和非受控两种模式选择 ●可对电梯控制器进行参数设置,包括楼层数,碰卡延时时间,进入楼层权限设置等 ●具备楼层选择功能,宾客可根据需要通过选择按钮进入相应楼层 ●具备权限判断功能,只有符合权限的开门卡才能开启电梯服务 ●具备挂失功能,黑名单数目可设1600个 ●具备汇总功能,可对电梯控制器进行开门记录设置,随时查看进出记录。 ●具有开梯查询功能,最多可设置20000条开梯记录。 3. 安装要求

3.1. 电梯要求 ●轿箱顶部提供220V电源2位插座。 ●轿箱顶部能提供足够空间安装及固定DTM控制箱 ●轿箱内部楼层按键面板内、外必须提供足够空间安装及固定DTM-02前主控器及前控电路板盒 ●电梯控制板必须安装在轿箱顶部 ●电梯控制板的楼层按键输入接口必须是干接点信号 ●每个楼层按键开关有2根线连接至电梯控制板,连接头为螺丝插拔式端子 3.2. 电线规格 3.3. 布线要求 在安装DTM前主控器面板的开孔处(安装在轿箱内楼层按键附近)留出N组线(每组两条,总数量是总楼层数+3组,分别是:每个楼层1组,前控制体2组,备用1组),所有的线拉到轿箱顶DTM 控制箱的安装位置;轿箱内各出线处留线长30cm左右,轿箱顶各出线处留线长60cm左右,每组单条线的长度应≤20m。由于8芯网线线径太小,不允许采用。

三层电梯控制系统的模拟

《PLC课程设计》报告三层电梯控制系统的模拟 系别电气工程系 班级本自动化 学号 学生姓名 指导老师 组员 2012年2月28日 [内容摘要]

本次PLC课程设计利用西门子S7-200系列PLC进行编程试验,我们细致分析之后,进行程序编写、控制系统框图、流程图的设计、I/O分配、梯形图的绘制、程序的调试与分析。经过努力,我们设计的程序已符合设计要求:当电梯在某一层停靠后,如果没有别的层站呼叫,电梯就始终停靠在这里。如已有登记过的呼叫信号,那在本站停靠后要等3秒才能继续启动。如已过3秒后才有呼叫信号,那什么时候来信号,立即响应运行电梯。如此时有本层呼梯信号,那其他层站信号要等待3后才能响应。 目录

第一章三层电梯控制系统模拟的硬件设计 (1) 1.1三层电梯控制系统模拟设计与调试。 (2) 1.2三层电梯控制系统模拟电路 (2) 1.3列出三层电梯控制系统模拟的输入/输出接口分配表 (3) 第二章三层电梯控制系统模拟的软件设计 (3) 2.1工作过程 (3) 2.2程序流程图 (4) 2.3梯形图 (5) 2.4三层电梯控制系统模拟梯形图的对应指令表 (9) 第三章设计总结 (11) 参考文献 (13) 第一章三层电梯控制系统模拟的硬件设计

三层电梯控制系统模拟 控制装置图 水塔 1.1要求独立完成水塔水位控制PLC系统设计与调试。 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。 1.2三层电梯控制系统模拟电路 1

汇编实验报告

实验一 DOS常用命令及8088/86指令使用 实验目的 通过实验掌握下列知识: 1、DOS命令: CD,DIR,DEL,RENAME,COPY。 2、8088指令: MOV,ADD,ADC,SUB,SBB,DAA,XCHG 3、DEBUG命令: A,D,E,F,H,R,T,U。 4、BCD码,ASCII码及用十六进制数表示二进制码的方法。 5、8088寄存器: AX,BX,CX,DX,F,IP。 实验类型:验证 内容及步骤 一、DOS常用命令练习 1、开机后,切换到命令提示符窗口下,出现提示符后键入命令DIR, 查看此目录下所有文件。 2、键入命令CD..进入上级目录,再查看此目录下所有文件。 3、将一张3.5寸软盘插到 A驱动器中,用DIR命令查看盘上文件。 4、用命令 COPY https://www.360docs.net/doc/097381040.html, BUG 复制一个文件。 5、用命令 RENAME BUG BG 将BUG 文件改为BG。 6、用命令 DEL BG 将文件BG删除。 在操作时要注意提示信息,并按提示操作。 二、DEBUG 命令使用 1、键入 DEBUG 进入 DEBUG 控制状态,显示提示符 '- '。 2、用命令 F100 10F 'A' 将'A'的ASCII码填入内存。 3、用命令 D100 10F 观察内存中的十六进制码及屏幕右边的ASCII字符。 4、用命令 F110 11F 41 重复上二项实验,观察结果并比较。 5、用命令 E100 30 31 32 …… 3F将30H-3FH写入地址为100开始的内存单元中,再用D命令观察结果,看键入的十六进制数是什么字符的ASCII码? 6、用H命令检查下列各组十六进制数加减结果并和你的手算结果比较: (1)34H,22H (2)56H,78H (3)A5,79H (4)1284H,5678H (5)A758H,347FH 7、用R命令检查各寄存器内容,特别注意AX,BX,CX,DX,IP及标志位中ZF,CF和AF的内容。 8、用R命令将AX,BX内容改写为1050H及23A8H。 三、8088常用指令练习 1、传送指令 用A命令在内存100H处键入下列内容: -A 0100↙ ****:0100 MOV AX,1234↙ ****:0103 MOV BX,5678↙ ****:0106 XCHG AX,BX↙ ****:0108 MOV AH,35↙ ****:010A MOV AL,48↙

相关文档
最新文档