数电实验报告-简易三层电梯控制器

数电实验报告-简易三层电梯控制器
数电实验报告-简易三层电梯控制器

数字电路与逻辑设计实验简易电梯控制器

实验报告

电子工程学院

2010211205班

张阗

目录

一、功能设计 (3)

1、电梯运行规则 (3)

2、实验板硬件实现 (3)

二、程序架构 (4)

1、分频模块 (5)

2、状态机模块 (5)

3、寄存器模块 (6)

4、外部显示模块 (6)

三、程序代码 (7)

四、结果仿真 (17)

五、实验心得 (20)

一、功能设计

1、电梯运行规则

电梯初始状态为一层开门状态。

电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。

可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。

可现实上升或下降状态。

电梯每秒上升(下降)一层楼。

电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。

电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。

当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反。

2、实验板硬件实现

(1)控制部分(输入):

(2)显示部分(输出):

二、程序架构

图1 程序架构

1、分频模块

外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。

2、状态机模块

状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。

电梯初始状态为stopon1,各状态之间的转移情况如图2所示。

图2 状态转移图

3、寄存器模块

由于纽扣按钮不能长时间按下,所以需要寄存器模块中的变量来储存按钮的输入。当按下某按钮时,其对应布尔型变量为‘1’,即使松开按钮,该变量仍然为‘1’。寄存器模块包括各层的上升、下降、请求停站信号,来控制各状态间的转移。

4、外部显示模块

外部显示模块包括数码管显示和点阵显示的控制。

根据点阵显示图形的特征,设置点阵扫描方式为逐行扫描,以保证各点亮度的均衡性。控制行时,低电平对应亮,高电平对应灭;控制列时,高电平对应亮,低电平对应灭。

三、程序代码

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity threelift is

port(clk_in:in std_logic;

close:in std_logic; --关门信号

reset:in std_logic; --异步复位端口

f1upbutton:in std_logic; --一层上升请求端口

f2upbutton:in std_logic; --二层上升请求端口

f2dnbutton:in std_logic; --二层下降请求端口

f3dnbutton:in std_logic; --三层下降请求端口

stop1button:in std_logic; --一层停站请求端口

stop2button:in std_logic; --二层停站请求端口

stop3button:in std_logic; --三层停站请求端口

position:buffer integer range 1 to 3; --电梯位置信号

udsig:buffer std_logic; --上升或下降信号

fuplight,fdnlight,stoplight:buffer std_logic_vector(3 downto 1);

--上升、下降、停站请求寄存信号doorlight:out std_logic; --开关门状态信号

row: out std_logic_vector(7 downto 0);

col: out std_logic_vector(7 downto 0);

cat:out std_logic_vector(5 downto 0);

led:out std_logic_vector(6 downto 0);

beep:out std_logic);

end entity threelift;

architecture one of threelift is

type lift_state is --定义十个状态

(stopon1,dooropen,doorclose,doorwait1,doorwait2,doorwait3,doorwait4,up,down,stop);

signal mylift:lift_state;

signal clearup:std_logic; --上升和停站请求清除信号

signal cleardn:std_logic; --下降和停站请求清除信号

signal buttonclk,liftclk,ledclk,clk_2:std_logic; --分频后时钟

signal q1:integer range 0 to 24999999; --分频中间变量

signal q2:integer range 0 to 39999999;

signal q3:integer range 0 to 9;

signal q4:integer range 0 to 49;

signal duan:std_logic_vector(6 downto 0); --数码显示管中间变量

signal shuju:std_logic_vector(3 downto 0); --选择输入端的中间变量signal cnt:std_logic_vector(2 downto 0); --控制数码管的中间变量signal aim,request,stair:std_logic_vector(3 downto 0); --控制数码管的传值变量signal hang: std_logic_vector(7 downto 0); --控制点阵的行

signal lie: std_logic_vector(7 downto 0); --控制点阵的列

signal count: std_logic_vector(2 downto 0); --控制点阵的中间变量

begin

process(clk_in) --分频模块

begin

If(clk_in' event and clk_in='1')then

If q1=24999999 then q1<=0;

buttonclk<=not buttonclk;

else q1<=q1+1;

end if;

end if;

end process;

process(clk_in)

begin

If(clk_in' event and clk_in='1')then

If q2=39999999 then q2<=0;

liftclk<=not liftclk;

else q2<=q2+1;

end if;

end if;

end process;

process(clk_in)

begin

If(clk_in' event and clk_in='1')then

If q3=9 then q3<=0;

ledclk<=not ledclk;

else q3<=q3+1;

end if;

end if;

end process;

process(clk_in)

begin

If(clk_in' event and clk_in='1')then

If q4=49 then q4<=0;

clk_2<=not clk_2;

else q4<=q4+1;

end if;

end if;

end process;

ctrlift:process(reset,liftclk) --状态机模块

variable pos:integer range 3 downto 1;

begin

if reset='1' then --异步复位,电梯的初始状态为一层开门状态mylift<=stopon1;

clearup<='0';

cleardn<='0';

else

if liftclk'event and liftclk='1' then

case mylift is

when stopon1=>

doorlight<='1';

position<=1;

pos:=1;

mylift<=doorwait1; --电梯等待4s

when doorwait1=>

clearup<='0';

cleardn<='0';

if(close='1') then

mylift<=doorclose; --如果有关门信号,则转至关门状态

else

mylift<=doorwait2;

end if;

when doorwait2=>

if(close='1') then

mylift<=doorclose; --如果有关门信号,则转至关门状态

else

mylift<=doorwait3;

end if;

when doorwait3=>

if(close='1') then

mylift<=doorclose; --如果有关门信号,则转至关门状态

else

mylift<=doorwait4;

end if;

when doorwait4=>

mylift<=doorclose;

when doorclose=> --关门,判定电梯下一个运行方式doorlight<='0';

if udsig='1' then --电梯正在上升

if position=3 then

if fuplight="000" and fdnlight="000" and stoplight="000" then

--没有请求信号时,电梯停在当前层udsig<='0';

mylift<=doorclose;

elsif fdnlight(3)='1' or stoplight(3)='1' then

--本层有请求信号时,电梯开门

udsig<='0';

mylift<=dooropen;

else --否则下降

udsig<='0';

mylift<=down;

end if;

elsif position=2 then

if fuplight="000" and fdnlight="000" and stoplight="000" then

udsig<='1';

mylift<=doorclose;

elsif fuplight(2)='1' or stoplight(2)='1' then

--本层有上升或停站请求时时,电梯开门

udsig<='1';

mylift<=dooropen;

elsif fuplight="000" and stoplight="000" and fdnlight="010" then

--只有二层有下降请求时,电梯开门

udsig<='0';

mylift<=dooropen;

elsif stoplight(3)='1' or fdnlight(3)='1' then

--三层有停站请求或下降请求,则上升

udsig<='1';

mylift<=up;

else

udsig<='0';

mylift<=down;

end if;

elsif position=1 then

if fuplight="000" and fdnlight="000" and stoplight="000" then

udsig<='1';

mylift<=doorclose;

elsif stoplight(1)='1' or fuplight(1)='1' then

udsig<='1';

mylift<=dooropen;

else

udsig<='1';

mylift<=up;

end if;

end if;

elsif udsig='0' then --电梯正在下降

if position=3 then

if fuplight="000" and fdnlight="000" and stoplight="000" then udsig<='0';

mylift<=doorclose;

elsif fdnlight(3)='1' or stoplight(3)='1' then

udsig<='0';

mylift<=dooropen;

else

udsig<='0';

mylift<=down;

end if;

elsif position=2 then

if fuplight="000" and fdnlight="000" and stoplight="000" then udsig<='0';

mylift<=doorclose;

elsif fdnlight(2)='1' or stoplight(2)='1' then

udsig<='0';

mylift<=dooropen;

elsif fdnlight="000" and stoplight="000" and fuplight="010" then udsig<='1';

mylift<=dooropen;

elsif fuplight(1)='1' or stoplight(1)='1' then

--一层有停站请求或上升请求,则下降udsig<='0';

mylift<=down;

else

udsig<='1';

mylift<=up;

end if;

elsif position=1 then

if fuplight="000" and fdnlight="000" and stoplight="000" then udsig<='1';

mylift<=doorclose;

elsif stoplight(1)='1' or fuplight(1)='1' then

udsig<='1';

mylift<=dooropen;

else

udsig<='1';

mylift<=up;

end if;

end if;

end if;

when up=> --电梯处于上升状态position<=position+1; --电梯楼层数加一

pos:=pos+1;

if pos<3 and (stoplight(pos)='1' or fuplight(pos)='1') then

mylift<=stop;

--电梯在一层或二层,本层有停站或上升请求时,则停止elsif pos=3 and (stoplight(pos)='1' or fdnlight(pos)='1') then

mylift<=stop;

--电梯处在三层,并且有三层停站或下降请求,则停止else

mylift<=doorclose;

end if;

when down=> --电梯处在下降状态position<=position-1; --电梯楼层数减一

pos:=pos-1;

if pos>1 and (stoplight(pos)='1' and fdnlight(pos)='1') then

mylift<=stop;

elsif pos=1 and (stoplight(pos)='1' or fuplight(pos)='1') then

mylift<=stop;

else

mylift<=doorclose;

end if;

when stop=>

mylift<=dooropen;

when dooropen=>

doorlight<='1';

if udsig='1' then

if position<3 and (fuplight(pos)='1' or stoplight(pos)='1') then clearup<='1'; --清除当前层上升和停站请求else

clearup<='1';

cleardn<='1';

end if;

elsif udsig='0' then

if position>1 and (fdnlight(pos)='1' or stoplight(pos)='1') then cleardn<='1'; --清除当前层下降和停站请求else

clearup<='1';

cleardn<='1';

end if;

end if;

mylift<=doorwait1;

end case;

end if;

end if;

end process ctrlift;

ctrlight:process(reset,buttonclk) --寄存器模块begin

if reset='1' then --复位,寄存信号清零

fuplight<="000";

fdnlight<="000";

stoplight<="000";

else

if buttonclk'event and buttonclk='1' then

if clearup='1' then --上升和停站请求清零fuplight(position)<='0';

stoplight(position)<='0';

else

if f1upbutton='1' then --记忆各层上升请求fuplight(1)<='1';

elsif f2upbutton='1' then

fuplight(2)<='1';

end if;

end if;

if cleardn='1' then --下降和停站请求清零fdnlight(position)<='0';

stoplight(position)<='0';

else

if f2dnbutton='1' then --记忆各层下降请求fdnlight(2)<='1';

elsif f3dnbutton='1' then

fdnlight(3)<='1';

end if;

end if;

if stop1button='1' then --记忆各层停站请求stoplight(1)<='1';

elsif stop2button='1' then

stoplight(2)<='1';

elsif stop3button='1' then

stoplight(3)<='1';

end if;

end if;

end if;

end process ctrlight;

process(position)

begin

if reset='1'then

stair<="0001";

else

if position=1 then

stair<="0001";

elsif position=2 then

stair<="0010";

elsif position=3 then

stair<="0011";

end if;

end if;

end process;

process(stoplight)

begin

if reset='1' then

aim<="0001";

else

if stoplight(1)='1' then

aim<="0001";

elsif stoplight(2)='1'then

aim<="0010";

elsif stoplight(3)='1'then

aim<="0011";

end if;

end if;

end process;

process(fuplight)

begin

if reset='1' then

request<="0001";

else

if fuplight(1)='1'or fdnlight(1)='1' then request<="0001";

elsif fuplight(2)='1'or fdnlight(2)='1'then request<="0010";

elsif fuplight(3)='1'or fdnlight(3)='1'then

request<="0011";

end if;

end if;

end process;

process(ledclk) --数码管控制模块begin

if (ledclk'event and ledclk='1') then

if cnt="101" then cnt<="000";

else cnt<=cnt+1; --每有一个扫描信号上升沿实现加1扫描

end if;

end if;

end process;

process(cnt)

begin

case cnt is --扫描时给每个数码管赋值

when "000"=>cat<="111110"; shuju<=stair;

when "001"=>cat<="111101";shuju<="0000";

when "010"=>cat<="111011";shuju<=aim;

when "011"=>cat<="110111";shuju<="0000";

when "100"=>cat<="101111";shuju<=request;

when "101"=>cat<="011111";shuju<="0000";

when others=> null;

end case;

end process; --结束进程

process(shuju) --开始进程(译码显示)

begin

case shuju is

when "0000"=>duan<="0111111"; --0

when "0001"=>duan<="0000110"; --1

when "0010"=>duan<="1011011"; --2

when "0011"=>duan<="1001111"; --3

when "0100"=>duan<="1100110"; --4

when "0101"=>duan<="1101101"; --5

when "0110"=>duan<="1111101"; --6

when "0111"=>duan<="0000111"; --7

when "1000"=>duan<="1111111"; --8

when "1001"=>duan<="1101111"; --9

when others=>null;

end case;

end process;

led<=duan;

process(clk_2) --点阵控制模块

begin

if (clk_2'event and clk_2='1') then

if count="111" then count<="000";

else count<=count+1; --每有一个扫描信号上升沿实现加1扫描

end if;

end if;

end process; --结束进程

process(count)

begin

if udsig='1'then

case count is

when "000"=>hang<="01111111";lie<="00011000";

when "001"=>hang<="10111111";lie<="00111100";

when "010"=>hang<="11011111";lie<="01011010";

when "011"=>hang<="11101111";lie<="10011001";

when "100"=>hang<="11110111";lie<="00011000";

when "101"=>hang<="11111011";lie<="00011000";

when "110"=>hang<="11111101";lie<="00011000";

when "111"=>hang<="11111110";lie<="00011000";

when others=>null;

end case;

else

case count is

when "000"=>hang<="01111111";lie<="00011000";

when "001"=>hang<="10111111";lie<="00011000";

when "010"=>hang<="11011111";lie<="00011000";

when "011"=>hang<="11101111";lie<="00011000";

when "100"=>hang<="11110111";lie<="10011001";

when "101"=>hang<="11111011";lie<="01011010";

when "110"=>hang<="11111101";lie<="00111100";

when "111"=>hang<="11111110";lie<="00011000";

when others=>null;

end case;

end if;

row<=hang;

col<=lie;

end process;

end architecture one;

四、结果仿真

1、电梯位于1层→内部有到达2层请求→电梯上升至2层→开

门,关门。仿真结果如图3所示。

图3 仿真结果(1)

stoplight三位依次对应3层、2层、1层的内部停站请求。当按下内部2

层停站按钮后,stoplight第2位变为‘1’。电梯到达2层开门后,stoplight 清零。

2、电梯停在1层→2层外部有上升请求→电梯上升至2层→开门,

内部有到达3层请求,关门→电梯上升至3层。仿真结果如图4所示。

图4 仿真结果(2)

fuplight三位依次对应3层、2层、1层外部上升请求。当2层外部有上升请求时,fuplight第2位变为‘1’。电梯到达2层开门后,fuplight清零。按下内部3层停站按钮后,fuplight第1位变为‘1’。电梯到达3层开门后,fuplight 清零。

3、电梯停在1层→3层外部有下降请求→电梯上升至3层→开门,

内部有到达1层请求,关门→电梯下降至1层→开门,关门。仿真结果如图5所示。

图5 仿真结果(3)

fdnlight三位依次对应3层、2层、1层外部下降请求。当3层外部有下降请求时,fdnlight第1位变为‘1’。电梯到达3层开门后,fdnlight清零。按下内部1层停站按钮后,stoplight第3位变为‘1’。电梯到达1层开门后,stoplight清零。

4、电梯位于1层→内部同时有到达2、3层请求→电梯先上升至

2层→开门,关门→电梯上升至3层→开门,关门。仿真结果如图6所示。

图6 仿真结果(4)

当按下内部2层、3层停站按钮后,stoplight第1位、第2位变为‘1’,电梯先上升至2层。开门后,stoplight第2位清零。电梯继续上升至3层,开门后,stoplight第1位清零。

5、电梯位于1层→2、3层外部都有下降请求→电梯先上升至3

层→开门,内部请求到达1层,关门→电梯下降至2层→开门→电梯

下降至1层→开门,关门。仿真结果如图7所示。

图7 仿真结果(5)

当2层、3层外部同时有下降请求时,fdnlight第1位、第2位变为‘1’,电梯先上升至3层。开门后,fdnlight第1位清零。按下内部1层停站按钮后,stoplight第3位变为‘1’。电梯下降至2层,开门后fdnlight第2位清零。电梯继续下降至1层,开门后stoplight清零。

6、电梯位于1层→2层外部同时有上升、下降请求→电梯上升至

2层→开门,内部请求到达3层,关门→电梯上升至3层→开门,关

门→电梯下降至2层→开门,内部请求到达1层,关门→电梯下降至

1层→开门,关门。仿真结果如图8所示。

图8 仿真结果(7)

当2层外部同时有上升、下降请求时,fdnlight第2位、fuplight第2位变为‘1’。电梯先上升至2层,开门后fuplight第2位清零。按下内部3层停站按钮后,stoplight第1位变为‘1’。电梯上升至3层,开门后stoplight第1位清零。电梯下降至2层,开门后fdnlight第2位清零。按下内部1层停站按钮后,stoplight第3位变为‘1’。电梯下降至1层,开门后stoplight第1位清零。

五、实验心得

上学期的数电实验课中,我们应用VHDL语言实现了几个小程序,掌握了编写EDA程序的基本能力。这学期的课程则加深了难度,要求我们实现一个比较综合复杂的程序,需要不同模块配合完成。

选定“简易电梯控制器”这个题目后,我首先确定了分频模块、逻辑控制模块、寄存器模块、外部显示模块这样的大体架构,然后从最重要的逻辑控制模块开始编写。起初我希望只通过if……else……这样的简单语句实现对电梯所有运行情况的控制,但只写了一个最简单的情况,我就发现:只靠简单语句的嵌套,有很多逻辑重复的部分,需要重复大量语句;逻辑层次也很不清楚。于是,我看了一些样例程序,对于实现状态很多并且转换情况比较复杂的程序,需要用状态机模块来实现。于是经过对状态转移的分析,我搭建了包含十个状态的状态机主体结构。接下来就是对各种情况的分析和整理,一开始只考虑了有一个停站请求时的情况。后来我发现这并不满足实际的要求,于是添加了同时有多个停站请求时的情况。经过不断地编译和仿真,主体逻辑控制部分终于能正常运行了。

剩下的工作就比较简单了。我很快编好了分频模块、寄存器模块和外部显示模块。由于以前没有使用过点阵,所以在点阵控制模块的编写上多花了一些时间。刚开始下载到实验板上后发现,当一列亮起多个点时,这些点就会非常暗;而如果一列只亮一个点,这个点就会很亮。经过对程序的分析,我发现由于我想显示的箭头图案是左右对称的,所以每行亮起的点数基本相同,只要把逐列扫描改为逐行扫描,就能使各点的亮度基本一致。

程序在实验板上下载成功后,我按照预想的设计测试了各种输入情况,都可以正常运行。最后我又把我的实验设计写成了ppt,在课程结束时与大家进行了交流。

这次实验非常考验我们的综合思考能力,对于各个模块综合作用、不同模块之间的变量传值、复杂逻辑结构搭建等多方面的考虑,都不是件容易的事情。编写程序的过程中会出现很多问题,有的是语法问题,有的是变量类型问题,有的是逻辑问题,很多时候仅从编译结果无法找出问题具体出在哪,还需要对程序的仔细检查和不断修改才能最终完成。我对这次实验投入了很大的精力,除了上课时在实验室的时间,还熬了两个晚上的通宵,才基本达到了最初预想的效果。但是付出总会有收获,当最后看着实验板的各个部件正确地工作,我感到了自己工作的价值。

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

电梯控制电路设计

- 电子技术课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录

一.课程设计目的 (5) 二.课程设计的容及要求 (5) 2.1课程设计容 (5) 2.2课程设计要求 (5) 三.正文部分 (7) 3.1按键控制模块................................................................................................... (7) 3.1.1目标楼层号按钮编码电路................................................. (7) 3.1.2比较制动电 路........................................................................................... (10) 3.1.3与逻辑起动控 制........................................................................................... .. .11 3.2数码管显示模块 (12) 3.2.1显示译码器组成电路如下 (12) 3.2.2 CD4510(可逆计数器)组成电路 (16) 3.3脉冲发生器模块 (17) 3.3.1、0.2S脉冲发生器 (17) 3.3.2、6S脉冲发生器 (18) 3.4、LED滚动显示模块 (19)

三层电梯控制设计

综合实训设计报告信息工程与自动化学院自动化系 设计题目:基于PLC的三层电梯控制系统设计 姓名: 学号: 专业:测控121班 指导老师: 二0一五年七月

引言 随着城市建设的不断发展,城市迅速的崛起,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。它是采用电力拖动方式,将载有乘客或货物的轿厢,运行于垂直方向的两根刚性导轨之间,运送乘客和货物的固定式提升设备。所以,电梯是为高层建筑运输服务的设备,它具有运送速度快、安全可靠、操作简便的优点。但传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。 目前电梯的控制普遍采用了两种方式,一是采用微机作为信号控制单元,完成电梯信号的采集、运行状态和功能的设定,实现电梯的自动调度和集选运行功能,拖动控制则由变频器来完成;第二种控制方式采用可编程控制器取代微机实现信号控制。从控制方式和性能上来说,这两种方法并没有太大的区别,但PLC 可靠性高,程序设计方便灵活。 1.电梯的PLC控制方式 PLC是一种用于工业自动给控制的专用计算机。实质上属于计算机控制方式。PLC与普通微机一样,能通用或专用CPU作为字处理器,实现通道(字)的运算和数据存储,另外还有位处理器(布尔处理器),进行点(位)运算与控制。PLC控制一般具有可靠性高,易操作、维修和编程简单、灵活性强等特点。 1.1 PLC控制系统的可靠性 对可维修的产品,可靠性包括产品的有效性和可维修性。PLC不需要大量的活动元件和接线电子元件,它的接线大大减少,与此同时,系统的维修简单,维修时间短。PLC采用了一系列可靠性设计的方法进行设计,例如,冗余设计,断电保护,故障诊断和信息保护及恢复等,提高了MTBF,降低了MTTR,使可靠性提高。PLC是为工业生产过程控制而专门设计的控制装置,它具有比通用计算机控制更简单的编程语言,而为工业恶劣操作环境设计的硬件使可靠性大大提高。在PLC的硬件方面,采用了一系列提高可靠性的措施。例如,采用可靠性的元件,采用先进的工艺制造流水线制造,对于干扰的屏蔽、隔离和滤波等,对电源的断

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

三层电梯控制器实验报告

大连理工大学本科实验报告题目:三层电梯控制器 课程名称:数字电路与系统设计 学院(系):电子信息与电气工程学部 专业: 班级: 学生姓名: 学号: 完成日期:2012-7-5 成绩: 2012 年7 月05 日

题目:三层电梯控制器 1 设计要求 随着科技的发展,电梯的使用越来越普遍,在商业大厦、宾馆酒店、办公场所、居民住宅中广泛使用。并且随着生活水平的提高,人们对电梯功能的要求也不断提高,相应地其控制方式也在不停地方生变化。对于电梯的控制,传统的方法是使用继电器——接触器控制系统进行控制技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。 电梯的微机化控制主要由以下几种形式:1.PLC控制;2.单板机控制;3.单片机控制;4.单微机控制;5.多微机控制;6.人工智能控制。目前FPGA已广泛应用与电子设计与控制的各个方面。本设计就是使用一片FPGA来实现对三层电梯的控制。 电梯控制器是控制电梯按顾客的要求自动上下的装置。三层电梯控制器的功能如下: (1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 (2)设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 (3)电梯每秒升(降)一层楼。 (4)电梯到达有停站请求的楼层后,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。 (5)能记忆电梯内外的所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如更高层有下楼请求,则直接升到有下楼请求的最高层接客,然后便进入下降模式。当电梯处于下降模式时与上升模式相反。 (7)电梯初始状态为一层开门。 (8)当收到报警信号时,电梯停止工作,电梯维持当前状态不动。报警信号解除时,电梯继续工作。 (9)电梯到达指定楼层时有声音提示。 2 设计分析及系统方案设计 电梯控制器的设计方法有很多,本文采用状态机来描述,其优点是思路清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。由于电梯又是每秒上升或下降一层,所以就可以通过一个以秒为周期的时钟来触发状态机。根

三层电梯控制电路(word文档良心出品)

三层电梯控制电路设计 .设计要求 每层电梯入口处设有上下请求开关, 电梯内设有顾客到达层次的停站请 设有电梯入口处位置指示装置及电梯运行模式 (上升或下降)指示装置。 电梯 每秒升(降)一层楼。 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开 门4秒 后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请 求信号后停留 在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请 求信号保 留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时, 楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕; 下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用 来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性 电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯 控制 器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状 态显示 器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层, 通过主控 制器的处理,电梯开始运行,状态显示器显示电梯的运行状态, 电梯所在楼层数 通过译码器译码从而在楼层显示器中显示。 分控制器把有效的请求传给主控制器 进行处理,同时显示电梯的运行状态和电梯所在楼层数。 由于分控制器相对简单 很多,所以主控制器是核心部分。 1. 求开 关。 2. 3. 4. 只响应比电梯所在位置高的上 如果咼层有 VHDL 语言 ,通过对三层 图1.电梯控制器原理图

电梯控制电路资料

主回路 1、主回路原理图 2、原理说明 (1)电梯开始向上启动运行时,快车接触器K吸合,向上方向接触器S吸合。因为刚启动时接触器1A还未吸合,所以380V通过电阻电抗RQA、XQ接通电动机快车绕阻,使电动机降压起动运行。 (2)约经过2秒左右延时,接触器1A吸合,短接电阻电抗,使电动机电压上升到380V。电梯再经过一个加速最后达到稳速快车运行状态。(3)电梯运行到减速点时,上方向接触器S仍保持吸合,而快车K释放,1A释放,慢车M吸合。因为此时电动机仍保持高速运转状态,电机进入发电制动状态。如果慢车绕阻直接以380V接入,则制动力矩太强,而使电梯速度急速下降,舒适感极差。所以必需要分级减速。最先让电源串联电阻电抗,减小慢车线圈对快速运行电动机的制动力。经过一定时间,接触器2A吸,短接一部分电阻,使制动力距增加一些。然后再 3A、4A也分级吸合,使电梯速度逐级过渡到稳速慢车运行状态。 (4)电梯进入平层点,S、M、2A、3A、4A同时释放,电动机失电,制动器抱闸,使电梯停止运行。 (相关资料:电动机特性曲线变化) 3、动画演示

安全回路 1、原理图 2、原理说明 由整流器出来的110V直流电源,正极接通过熔断丝1RD接到02号线,负极通过熔断丝2RD接到01号线。 把电梯中所有安全部件的开关串联一起,控制电源继电器JY,只要安全部件中有任何一只起保护,将切断JY继电器线圈电源,使JY释放。 02号线通过JY继电器的常开点接到04号线,这样,当电梯正常有电时,04号与01号之间应用110V直流电,否则切断04号线,使后面所有通过04号控制的继电器失电。 串联一个电阻RY是起到一个欠电压保护。大家知道,当继电器线圈得到110V电吸合后,如果110V电源降低到一定范围,继电器线圈仍能维持吸合。这里,当电梯初始得电时,通过JY常闭触点(15、16)使JY继电器有110V电压吸合,JY一旦吸合,其常闭触点(15、16)立即数开,让电阻RY串入JY线圈回路,使JY在一个维持电压下吸合。 这样当外部电源出现电压不稳定时,如果01、02两端电压降低,JY继电器就先于其它继电器率先断开,起一个欠电压保护作用。 楼层控制回路 1、原理图

plc实验报告之三层电梯的运行

电气控制综合实验报告 项目:三层电梯PLC控制系统 班级:电132 姓名: 学号: 联系方式: 学期:2015-2016-2 第一章实验过程记录以及调试步骤及方法 1.1实验过程记录 1.好好复习老师之前讲的一些基本的电路,例如轿厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。

2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真,看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分: a.打开计算机; b.打开GX Works2软件,将自己编写好的程序拷入电脑并打开; c.将PLC调为由RUN拨到STOP状态,然后将程序写入PLC; d.将PLC调为RUN(即运行状态)状态,然后打开组态; e.运行组态,点击启动; f.开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要 求; 1.2实验调试步骤及方法 1.调试软件GX Works2介绍 GX Work2是三菱电机推出的三菱综合PLC编程软件,是专用于PLC设计、调试、维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图1.2.1:

数电课程设计 电梯控制系统

湖南工程学院 课程设计 课程名称数字电子技术 课题名称简易电梯控制系统 专业自动化 班级1081 学号201013110111 姓名罗超超 指导教师龚志鹏 2012年11月23日湖南工程学院

课程设计任务书课程名称:数字电子技术 题目:简易电梯控制系统 专业班级:自动化1081 学生姓名:罗超超学号:11 指导老师:龚志鹏 审批: 任务书下达日期2012年11月19日星期一设计完成日期2012年11月23日星期五

设计内容与设计要求 一.设计内容: 1、显示方式:以LED数码管形式显示0-3; 2、主要功能:一共有四层楼,楼层标记用0-3表示,假 设每次只有有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭; 3、超重报警:挡电梯超重时,报警灯亮,电梯拒绝运行; 4、系统复位:系统能手动复位,复位后,电梯停在第○ 层; 5、其他功能(任选) 二、设计要求: 1、思路清晰,给出整体设计框图和总电路图; 2、单元电路设计,给出具体设计思路和电路; 3、写出设计报告;

主要设计条件 1.提供调试用实验室; 2.提供调试用实验箱和电路所需元件及芯片;3.所用设备及元件需在设计后归还。 说明书格式 1.课程设计封面; 2.任务书; 3.说明书目录; 4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图); 6.安装、调试步骤; 7.故障分析与电路改进; 8.总结与体会; 9.附录(元器件清单); 10.参考文献; 11.课程设计成绩评分表; 12.设计报告采用16k纸打印;

进度安排 第三周: 星期一:课题内容介绍和查找资料; 星期二~星期五:总体电路设计和分电路设计,电路仿真,修改方案; 第四周: 星期一~星期二:电路设计,电路仿真,修改方案 星期三: 安装、调试电路; 星期四: 验收电路,整理实验室及其它事情,写设计报告,打印相关图纸; 星期五:答辩; 参考文献 1、《电子系统设计》 高等教育出版社马建国主编 2、《电子线路设计、实验、测试》(第二版) 华中理工大学出版社谢自美主编 3、《新型集成电路的应用》---------电子技术基础课程设计 华中理工大学出版社梁宗善主编

电梯电气原理图

电梯电气原理图 一.概述 不同的电梯,不论采用何种控制方式,总是按轿厢内指令,层站召唤信号要求,向上或向下起动,起行,减速,制动,停站。 电梯的控制主要是指对电梯原动机及 开门机 的起动,减速,停止,运行方向,指层显示, 层站召唤, 轿车内指令, 安全保护等指令信号进行管理。 操纵是实行每个控制环节的方式和 手段。 二.常规 继电器 控制的典型控制环节 1. 自动开关门的控制线路 自动 门机 是安装于轿厢顶上, 它在带动轿门启闭时, 还需通过机械联动机构带动层门与轿门 同步启闭。 为使电梯门在启闭过程中达到快, 稳的要求, 必须对自动门机系统进行速度调节。 当用小型 直流伺服电机 时, 可用电阻串并联方法。 采用小型交流转矩电动机时, 常用加涡流 制动器 的调速方法。 直流电机 调速方法简单, 低速时发热较少, 交流门机在低速时电机发热厉害,对三相电机的堵转性能及绝缘要求均较高。

2. 轿内指令和层站召唤线路 轿内操纵箱上对应每 一层楼 设一个带灯的按钮, 也称指令按钮。 乘客入轿厢后按下要去的目 的层站按钮,按钮灯便亮,即轿内指令登记,运行到目的层站后,该指令被消除,按钮灯熄灭。 电梯的层站召唤信号是通过各个楼层门口旁的按钮来实现的。信号控制或集选控制的电梯,除顶层只有下呼按钮,底层只有上呼按钮外,其余每层都有上下召唤按钮。 3. 电梯的选层定向控制方法 常用的机种如下; 手柄开关定向 井道分层 转换开关 定向 井道永磁开关与继电器组成的 逻辑电路 定向 机械选层器定向 双稳态磁开关和电子 数字电路 定向 电子脉冲式选层装置定向 4. 电梯的定向,选层线路 电梯的方向控制就是根据电梯轿厢内乘客的目的层站指令和各层楼召唤信号与电梯所处层楼位置信号进行比较, 凡是在电梯位置信号上方的轿厢内指令和层站召唤信号, 令电梯定上 行,反之定下行。 方向控制环节必须注意以下几点: 轿内召唤指令优先于各层楼召唤指令而定向。 电梯要保持最远层楼乘客召唤信号的方向运行 在司机操纵时, 当电梯尚未启动运行的情况下, 应让司机有强行改变电梯运行方向的可能性

plc实验报告之三层电梯的运行

电气控制综合实验报告 PLC控制系统目:三层电梯项 132 电班级: 名:姓 学号:联系 方式: 2015-2016-2 期:学 实验过程记录以及调试步骤及方法 第一章实验过程记录1.好好复习老师之前讲的一些基本的电路,例如轿 厢内锁存电路,门厅锁存电路等,将这些基本的电路搞懂之后,就可以开始设计接下来的电路啦。 2.认真查看电梯要求的7大原则,开始设计梯形图,并用GX Works2进行仿真,并及时发现问题,加以修改。 3.在GX Works仿真都没有问题后,去实验室,用组态软件再进行仿真, 看电梯能否自如顺畅的运行,因为开门是通过延时来实现的,组态仿真,看之前设置好的定时器定时的时间是否能让电梯顺利的关门开门,若不行,修改定时器定时的时间,知道符合要求为止。这个可分为一下几小部分:打开计算机;a. 软件,将自己编写好的程序拷入电脑并打开;打开GX Works2b. ;PLC拨到调为由RUNSTOP状态,然后将程序写入c.将PLC (即运行状态)状态,然后打开组态;RUNd.将PLC调为 运行组态,点击启动;e.

开始根据组态运行的结果来调试,找出错误并修改程序,直至完成设计要求;f. 实验调试步骤及方法介绍 1.调试软件GX Works2 编程软件,是专用于PLCPLC设计、调试、GX Work2是三菱电机推出的三菱综合维护的编程工具。与传统的GX Developer 软件相比,提高了功能及操作性能,变得更加容易使用。梯形图绘制时更加的简单明了,例如输入X000,只要打X000,然后按回车键就好了,输出Y001,只要打Y001,然后按回车键就好了,输入特别的简单,很方便。例如下图: 图 GX Works2新建工程后界面 2.程序的调试 用软件GX Works2软件进行调试,是很有必要的一部分,它能帮你发现一些画梯PLC形图时出现的问题,还有一些意想不到的问题,熟练掌握调试方法对我们以后 的编程很有意义。 调试步骤3. 软件中,输入好之后,点将自己设计好的梯形图一行一行的输入到GX Worksa.击菜单栏中的“调试”,如下图: 图调试下拉菜单 b.点击模拟开始,可进行软件调试,如图: 图开始加载调试图 等到加载到百分之百就可以开始调试了。 c.在梯形图上面右击,出现下拉菜单,如图:

PLC电梯控制系统的设计

河南工业职业技术学院 毕业设计 题目 PLC电梯控制系统的设计系院电气工程系 专业 班级 学生姓名 学号 指导教师

前言 随着电子技术的发展,当前数字电器系统的设计正朝着速度快、容量大、体积小、重量轻的方向发展。推动该潮流发展的引擎就是日趋进步和完善的PLC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能的要求,自上而下的完成相应的描述、综合、优化、仿真与验证,直接生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计几乎都可以用计算机来自动完成,也就说做到了电器设计自动化这样做可以大大的缩短系统的设计周期,以适应当今品种多、批量小的电子市场的需求。 电器设计自动化的关键技术之一是要求用形式化的方法来描述数字系统的硬件电路,即要用所谓的硬件语言来描述硬件电路。所谓硬件描述语言及相关的仿真、综合等技术的研究是当今电器设计自动化领域的一个重要课题。 PLC的设计和开发,已经有多种类型和款式。传统的PLC各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统PLC是无法完成的。然而基于PC 通信的PLC,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的PLC无论在功能和实际应用上,都具有传统PLC无法比拟的特点,这使得它的开发和应用具有良好的前景。

目录 1.前言 2.电梯控制基本概念 3.电梯控制的组成 4.电梯控制的移动 5.电梯PLC系统的模拟组态 6.货运电梯重量超载的控制 7.总结 8.参考文献

2. PLC电梯控制的基本概念 电梯控制系统可分为电力拖动系统和电气控制系统两个主要部分。电力拖动系统主要包括电梯垂直方向主拖动电路和轿箱开关电路。二者均采用易于控制的直流电动机作为拖动动力源。主拖动电路采用PWM调试方式,达到了无级调速的目的。而开关门电路上电机仅需一种速度进行运动。电气控制系统则由众多呼叫按钮、传感器、控制用继电器、指示灯、LED七段数码管和控制部分的核心器件(PLD)等组成。PLC集信号采集、信号输出及逻辑控制于一体,与电梯电力拖动系统一起实现了电梯控制的所有功能。 电梯控制系统原理框图如图1所示,主要由轿箱内指令电路、门厅呼叫电路、主拖动电机电路、开关门电路、档层显示电路、按钮记忆灯电路、楼层检测与平层检测传感器及PLC电路等组成的。 电梯控制系统的硬件结构如图2所示。包括按钮编码输入电路、楼层传感器检测电路、发光二极管记忆灯电路、PWM控制直流电机无线调速电路、轿箱开关电路、楼层显示电路及一些其他辅助电路等。为减少PLC输入输出点数,采用编码的方式将31个呼叫及指层按钮编码五位二进制码输入PLC PLC系统的其它设备 1 编程设备:编程器是PLC开发应用、监测运行、检查维护不可缺少的器件,用于编程、对系统作一些设定、监控PLC及PLC 所控制的系统的工作状况,但它不直接参与现场控制运行。小编程器PLC一般有手持型编程器,目前一般由计算机(运行编程软件)充当编程器。 2 人机界面:最简单的人机界面是指示灯和按钮,目前液晶屏(或触摸屏)式的一体式操作员终端应用越来越广泛,由计算机(运行组态软件)充当人机界面非常普及。 3 输入输出设备:用于永久性地存储用户数据,如EPROM、EEPROM写入器、条码阅读器,输入模拟量的电位器,打印机等。

PLC三层电梯实验报告

题目:三层电梯实验 一、实验目得 1、熟练地掌握PLC得编程与程序调试方法、 2、进一步熟悉PLC得I/O连接、 3、熟悉变频器得使用。 名称类型数量名称类型数量 PLC DVP20S X211R 1 以太网模块DVPEN01- SL 1 网络模块 DVPDNET —SL 1 远程I/O模块DVP16SP11 T 1 台达VFD变频器VFD002E L21A 1 台达RTU-DNE TRTU—DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-1 0E615 1 计算机 1 电梯由安装在各楼层厅门口得上升与下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠得楼层、电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向得呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。 PLC主机输入输出如表6—1所示。 表6—1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M 50 方向上Y0 箱内二层呼叫X1/M 51 方向下Y1 箱内一层呼叫X2/M 52 箱内三层呼叫指示Y2手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M 54 箱内一层呼叫指示Y4 一层上呼叫X5/M5 5 开门指示Y5

三层下呼叫X 6/M56 二层上呼叫X7 /M57 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/ 一层呼叫指示Y1/M71 M111 三层呼叫指示Y2/M72 二层到位限位开关X2/M1 12 二层上呼叫指示Y3/M73 三层到位限位开关X3/M 113 上限位X4二层下呼叫指示Y4/M7 4下限位X5蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M7 7电梯模型原理图如图6-1所示。 图6-1电梯模型原理图 四、实验步骤 1.设置变频器参数 在变频器操作面板上按MODE进入参数设定、按ENTER与上下选择键选择参数码与参数设定值。按表6-3进行参数设置。 参数码参数功能设定值 01、00 最高操作频率设定50 01、01 点击额定频率设定50 01.09第一加速时间设定0、1 01.10 第一减速时间设定0。1 02。00 第一频率指令来源设定0 02.01 运转指令来源设定 1 00。03 开机预设显示画面 1 2。按接PLC主机得X0~X7,Y0~Y5接PLC主机得Y0~Y5,X10~X15接远程模块得X0~X5,Y10~Y15接远程模块得Y0~Y5,5V电源得GND接上DC24V得GND,COM接PLC主机得C0。 PLC主机与远程模块得S/S接24V电源正极Z1,PLC主机得C0、C1、远程模块得C0接DC24V电源负原理图进行接线

PLC三层电梯课程设计报告书

中国石油大学胜利学院 电气控制及可编程控制技术 综合实验报告 必做题目:三层电梯自动控制 选做题目:四节传送带控制 学生:马金帅 学号: 201108011225 系别:机械与电气工程系 专业年级:2011级电气工程及其自动化本科2班 指导教师:王东起 2014年6月24日

一、设计任务与要求 1、要求:楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层。 到达指定楼层电梯停留10秒。 电梯在某一层停留时,有楼层显示。 20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效。 2、(二)四段传送带控制 (1)PLC上电后,按下启动按钮,四段传送带同时启动,四盏指示灯同时亮;(2)按下停止按钮,四段传送带同时停止,四盏指示灯同时灭 (3)按下四号传送带故障按钮,四号立即停止,四号指示灯立即灭,三号二号一号间隔五秒依次停;其 (4)按下三号故障按钮,四号三号立即停止,二号一号间隔五秒依次停止;(5)按下二号故障按钮,四号三号二号立即停止,一号五秒后停止; (6)当一号故障时,四号三号二号一号都立即停止。。 二、方案设计与论证 此次课程设计是电气控制与PLC原理及应用课程的实际应用,两个设计任务的具体要求中都运用了课程中所学的基本电路:起保停、自锁、互锁、单脉冲电路等,通过各个基本电路的连接,最终能够满足基本的要求,再通过对基本电路的拓展,就可以实现部分设计的附加功能。三层电梯自动控制设计共有14个输入信号,分别有楼层呼叫按钮,一层一个:上。二层两个:上下。三层一个:下。桥箱呼叫按钮三个:一层,二层,三层,等控制开关和按钮。16个输出信号,各层的指示灯和上下显示灯等。到达指定楼层电梯停留10秒。电梯在某一层停留时,有楼层显示。20秒无人呼叫,电梯自动停留在1层。当电梯上升途中,任何反方向的下降呼叫信号无效,当电梯下降途中,任何反方向的上升呼叫信号无效 三、设计方案 设计一:三层电梯自动控制I/O分配表

PLC三层电梯实验报告

PLC三层电梯实验报告

————————————————————————————————作者:————————————————————————————————日期:

题目:三层电梯实验 一、实验目的 1、熟练地掌握PLC的编程和程序调试方法。 2、进一步熟悉PLC的I/O连接。 3、熟悉变频器的使用。 二、实验设备 名称类型数量名称类型数量PLC DVP20SX211 R 1 以太网模块DVPEN01-SL 1 网络模块DVPDNET-SL 1 远程I/O模块DVP16SP11T 1 台达VFD变频器VFD002EL21 A 1 台达RTU-DNET RTU-DNET 1 台达电源DVPPS02 1 三层电梯模型 1 人机界面DOP-10E615 1 计算机 1 三、实验内容 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯箱内设有楼层内选按钮,用以选择需停靠的楼层。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在二层轿箱外呼叫时,必须按二层上升呼叫按钮,电梯才响应呼叫(从一层运行到二层),按二层下降呼叫按钮无效;反之,若电梯停在三层,在二层轿箱外呼叫时,必须按二层下降呼叫按钮,电梯才响应呼叫(从三层运行到二层),按二层上升呼叫按钮无效。

PLC 主机输入输出如表6-1所示。 表6-1 plc主机输入输出 名称输入点名称输出点箱内三层呼叫X0/M50 方向上Y0 箱内二层呼叫X1/M51 方向下Y1 箱内一层呼叫X2/M52 箱内三层呼叫指示Y2 手动开门X3/M53 箱内二层呼叫指示Y3 手动关门X4/M54 箱内一层呼叫指示Y4 一层上呼叫X5/M55 开门指示Y5 三层下呼叫X6/M56 二层上呼叫X7/M57 远程模块输入输出如表6-2所示。 表6-2 DVP-16SP输入输出 名称输入点名称输出点二层下呼叫X0/M110 关门指示Y0/M70 一层到位限位开关X1/M111 一层呼叫指示Y1/M71 二层到位限位开关X2/M112 三层呼叫指示Y2/M72 三层到位限位开关X3/M113 二层上呼叫指示Y3/M73 上限位X4 二层下呼叫指示Y4/M74 下限位X5 蜂鸣器Y5 X6 正转Y6/M76 X7 反转Y7/M77 电梯模型原理图如图6-1所示。 图6-1 电梯模型原理图

电梯控制电路设计

电子技术 课程设计 成绩评定表 设计课题:电梯控制电路设计 学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:电梯控制电路设计 专业班级: 学生姓名: 学号: 指导教师: 课程设计地点: 课程设计时间:2014-7-7~2014-7-14 电子技术课程设计任务书

目录 一.课程设计目的 (5) 二.课程设计的内容及要求 (5) 课程设计内容 (5)

课程设计要求 (5) 三.正文部分 (7) 按键控制模块......................................................................... .. (7) 目标楼层号按钮编码电路 ....................................... (7) 比较制动电路................................................................... (10) 与逻辑起动控制................................................................... .......................... .11 数码管显示模块 (12) 显示译码器组成电路如下 (12) CD4510(可逆计数器)组成电路 (16) 脉冲发生器模块 (17) 、脉冲发生器 (17) 、6S脉冲发生器 (18) 、LED滚动显示模块 (19) 、LED显示电路译码器 (19) 三位二进制可逆计数器 (20) 、LED显示器 (22) 原理图 (23) 四.设计总结 (23) 附录1.整体电路图 (24)

三层电梯控制电路设计

三层电梯控制电路设计 一. 设计要求 1. 每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 2. 设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。 3. 电梯每秒升(降)一层楼。 4. 电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 5. 能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 6. 电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。 7. 电梯初始状态为一层开门状态。 二. 设计目的 电梯控制器是控制电梯按顾客要求自动上下的装置。本文采用VHDL语言来设计实用三层电梯控制器,其代码具有良好的可读性和易理解性, 通过对三层电梯控制器的设计,可以发现本设计有一定的扩展性,而且可以作为更多层电梯控制器实现的基础。 三. 控制器的设计方案. 控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图1. 电梯控制器原理图

四. 三层电梯控制器的结构体设计 首先说明一下状态。状态机设置了lO个状态,分别是电梯停留在l层(stoponl)、开门(dooropen)、关门(doorclose)、开门等待第1秒(doorwaitl)、开门等 待第2秒(doorwait2)、开门等待第3秒(doorwait3)、开门等待第4秒(doorwait4)、 上升(up)、下降(down)和停止(stop)。在实体说明定义完端口之后,在结构体architecture和begin之间需要有如下的定义语句,来定义状态机。 在结构体中,设计了俩个进程互相配合,一个是状态机进程作为主要进程, 另外一个是信号灯控制进程作为辅助进程。状态机进程中的很多判断条件是以信 号灯进程产生的信号灯信号为依据的,而信号灯进程中信号灯的熄灭又是由状态 机进程中传出的clearup和cleardn信号来控制。 在状态机进程中,在电梯的上升状态中,通过对信号灯的判断,决定下一个 状态是继续上升还是停止;在电梯下降状态中,也是通过对信号灯的判断,决定 下一个状态是继续下降还是停止;在电梯停止状态中,判断是最复杂的,通过对 信号的判断,决定电梯是上升、下降还是停止。 本设计需要完成的任务是编写VHDL代码来模拟现实中的三层电梯工作。在 点阵上显示电梯所在的楼层,当其它楼层有上或下的请求信号时,表示该楼层上 或下的绿色或黄色指示灯亮,电梯开始上或下运行,当到达该楼层时,表示该楼 层上或下的绿色或黄色指示灯灭,表示到达该楼层的红色指示灯亮,点阵显示楼 层数,红色指示灯灭。 五. vhdl源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity elevator is port( clk : in std_logic; --Clock Signal k1,k2u,k2d,k3 : in std_logic; --Push button d1,d2u,d2d,d3 : out std_logic; --Led of every floor door1,door2,door3 : buffer std_logic; --door led r0,r1,r2,r3,r4,r5,r6,r7 : out std_logic; --7 segment driver sa,sb,sc : out std_logic); --Display Select end elevator; -------------------------------------------------------------------- architecture behave of elevator is signal state1,state3 : std_logic;

相关文档
最新文档