多功能数字钟设计论文

摘要

数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。本设计实验以中规模数字集成电路为主,介绍一种数字电子钟的设计方法。用555定时器组成的多谐振荡器、计数器、译码器和校时电路组成。采用了74LS系列中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。本次课程设计还采用了层次模块的设计理念,使整体电路简单化,实现了电路的实效意义。

通过本次设计实验与制作:进一步加强数字电路综合运用能力,掌握数字电路的设计技巧,增进实践能力;熟悉数字电子钟的工作原理;了解并掌握数字电子钟系统设计、组装、调试及故障排除方法。

关键词:振荡器;计数器;译码器;分频器;校时电路

目录

1 绪论 (1)

1.1设计目的及意义 (1)

1.2 Multisim概述 (1)

1.3 Multisim 10操作步骤 (1)

2 多功能数字钟设计方案的确定 (2)

2.1设计方案原理构思 (2)

2.1.1设计主要原理 (2)

2.1.2设计电路原理框图 (3)

3 数字钟基本原理与方案设计 (3)

3.1 各模块电路分析 (3)

3.1.1 1Hz标准脉冲发生器 (3)

3.1.2 译码显示电路 (5)

3.1.3 计数器电路 (8)

3.1.4 校时电路 (11)

3.1.5 闹钟电路 (13)

3.1.6 整点报时电路 (15)

4 仿真调试与结果分析 (16)

4.1 总体仿真图 (16)

4.2 各个功能仿真调试 (16)

4.2.1 校时电路仿真调试 (16)

4.2.2 闹钟电路仿真调试 (17)

4.2.3 整点报时电路仿真调试 (18)

4.3 分析总结 (19)

4.4遇到问题及解决方法 (19)

5 心得体会 (20)

参考文献 (21)

附录 (22)

附录一元器件清单 (22)

附录二电路总图 (23)

附录三电路简化图 (24)

1 绪论

1.1设计目的及意义

多功能数字钟是采用数字电路实现对时、分、秒数字显示的数字装置,广泛应用于家庭、办公室、车站、码头等公共场所,已成为人民生活中不可缺少的必需品。由于数字集成电路的发展和石英晶体与振荡器的广泛应用,使得数字钟的精度远远超过老式钟表,而且大大地扩展了钟表原先的报时功能。

随着现代化技术的发展,有关专家分析指出,我国电子商务的基础设施将日臻完善,三网合一潮流势不可挡,高速宽带互联网将扮演越来越重要的角色,移动通讯将成为进行电子商务的主要媒介。而今,我们已经进入了数字时代,数字钟的使用将会越来越受欢迎。

数字钟的未来将呈现出个性化、专业化的两大趋势,而且每个网站在资源方面总是有限的,客户的需求又是全方位的,所以不同类型的网站以战略联盟的形式进行相互协作也是必然趋势。数字钟的实现,更体现了这一点。在不久的将来,数字钟肯定会逐渐被人们接受。很快会走进千家万户,给人们带来方便。

1.2 Multisim概述

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim 提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

1.3 Multisim 10操作步骤

1)启动操作

双击图标,启动Multisim 10,出现窗口界面。

选择文件/新建/原理图,即弹出空白的主设计窗口。

2)添加元件

打开元件库工具栏,单击需要的元件图标按钮,然后在主设计电路窗口中适当的位置,再次单击鼠标左键,所需要的元件即可出现在该位置上。

双击此元件,会出现该元件的对话框,可以设置元件的标签、编号、数值和模型参数。

3)元件的移动

选中元件,直接用鼠标拖拽要移动的元件。

4)元件的复制、删除与旋转

选中元件,用相应的菜单、工具栏或单击鼠标右键弹出快捷菜单,进行需要的操作。

5)放置电源和接地元件

选择“放置信号源按钮”弹出对话框,可选择电源和接地元件。

6)导线的操作

连接。鼠标指向某元件的端点,出现小圆点后按下鼠标左键拖拽到另一个元件的端点,出现小圆点后松开左键。

删除。选定该导线,单击鼠标右键,在弹出的快捷菜单中单击“delete”。

7)实时仿真

左上角菜单栏下方是仿真开关,连接好电路后用鼠标左键单击仿真开关,就开始实时仿真。

2 多功能数字钟设计方案的确定

2.1设计方案原理构思

2.1.1设计主要原理

该设计主要由以下几部分组成:震荡器、分频器、秒计数器、分计数器、时计数器、LED七段显示数码管、时间校准电路、整点报时电路还有闹钟电路。

数字钟数字显示部分,采用译码与二极管串联电路,将译码器、七段数码管连接起来,组成十进制数码显示电路,即时钟显示。要完成显示需要6个数码管,七段的数码管需要译码器才能正常显示,然后要实现时的计时需要12进制计数器,实现分、秒的计时则需要60进制计数器,在在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。60进制可能由10进制和6进制的计数器串联而成,频率振荡器可以由晶体振荡器分频来

提供,也可以由555定时来产生脉冲并分频为1Hz。计数器的输出分别经译码器送倒显示器显示。计时出现误差时,可以用校时电路校时、校分。

整点报时电路利用逻辑门,使当各译码器输出满足整点时,蜂鸣器导通。

闹钟电路通过比较器比较当前时间与设计的闹钟时间,相等时同样蜂鸣器导通。2.1.2设计电路原理框图

图2.1 多功能数字钟设计原理框图

3 数字钟基本原理与方案设计

数字电子钟的设计方法有很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。

在本次设计采用的是中小规模集成电路组成电子钟的方式。由许多单元电路组成总体时钟电路,使之完成功能的运行及扩展。

3.1 各模块电路分析

3.1.1 1Hz标准脉冲发生器

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高。

振荡器可由晶振组成,也可以由555定时器与相应的电阻、电容连接构成。这里我们采用555定时器得到频率为1kHz 的脉冲信号,其功能主要是产生标准秒脉冲信号和提供功能扩展电路所需要的信号。

多谐振荡器也称无稳态触发器,它没有稳定状态,同时无需外加触发脉冲,就能输出一定频率的矩形脉冲(自激振荡)。用555集成电路实现多谐振荡,需要外接电阻R 49、R 50和电容C ,并外接+5V 的直流电源。

脉冲频率为:

()()KHz 1Hz 9952

ln 105024512ln 21535049≈=⨯⨯⨯+=⨯+=-C R R f 标准脉冲发生器电路图如图3.1所示:

图3.1标准脉冲发生器电路图

为了实现电路的实效性,将总体电路进行简化,对部分电路采取层次封装模块的形式,如标准脉冲发生器电路,其封装模块如图3.2所示:

图3.2 555集成电路封装模块

3.1.2 译码显示电路

数字钟的译码显示电路由译码器4511BP和共阴极LED七段显示数码管组成,为避免译码器输出的电压过高,在译码器的输出端和数码管的输入端之间串联一个100Ω的电阻。

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管的正常工作提供足够的工作电流。4511BP是一个用于驱动共阴极LED(数码管)显示器的BCD码——七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。

4511BP芯片的引脚及显示状态如图3.3所示:

图3.3 4511BP芯片的引脚及显示状态

4511BP的逻辑功能表如表1所示:

表1:4511BP逻辑功能表

引脚

display输入引脚输出引脚

~EL ~BI ~LT DD DC DB DA OA OB OC OD OE OF OG

0 0 0 0 0 1 1 1 1 1 1 0

0 0 1 0 0 0 0 1 1 1 1 1 1 0

1 0 1 1 0 0 0 0 1 1 1 1 1 1 0

2 0 1 1 0 0 0 1 0 1 1 0 0 0 0

3 0 1 1 0 0 1 0 1 1 0 1 1 0 1

4 0 1 1 0 0 1 1 1 1 1 1 0 0 1

5 0 1 1 0 1 0 0 0 1 1 0 0 1 1

6 0 1 1 0 1 0 1 1 0 1 1 0 1 1

7 0 1 1 0 1 1 0 0 0 1 1 1 1 0

8 0 1 1 0 1 1 1 1 1 1 0 0 0 0

9 0 1 1 1 0 0 0 1 1 1 1 1 1 1

0 1 1 1 0 0 1 1 1 1 0 0 1 1

0 1 1 1 0 1 0 0 0 0 1 1 0 1

0 1 1 1 0 1 1 0 0 1 1 0 0 1

0 1 1 1 1 0 0 0 1 0 0 0 1 1

0 1 1 1 1 0 1 1 0 0 1 0 1 1

0 1 1 1 1 1 0 0 0 0 1 1 1 1

0 1 1 1 1 1 1 0 0 0 0 0 0 0

1 1 1 0 0 0 0

要使译码器能正常工作,LT和BI引脚要接高电平,EL要接低电平,译码器的输入端接计数器的输出端,而译码器的输出端则接对应数码管的输入端。

在数字钟的设计中,一共需要6块译码显示器,分别是小时显示的2块,分钟显示的2块,秒钟显示的2块,它们在设置上基本相同,只不过译码器的输入端需要接不同的计数器。

由计数器得到的4位二进制码必须通过译码,翻译为相应的十进制数,转为人们习惯的数字显示,如12:54:30的二进制码为0001 0010:0101 0100:0011 0000。译码之后再驱动LED七段数码管显示对应的时、分、秒。

图3.4为其中一块译码显示电路的连接图。

图3.4译码显示电路连接图

译码显示电路的封装模块如图3.5所示。

图3.5 译码显示电路的封装模块

3.1.3 计数器电路

在数字钟的控制电路中,分和秒的控制都是一样的,都是由一个十进制计数器和一个六进制计数器串联而成的。在此次电路的设计中采用的是统一的器件74LS161N的反馈置数法来实现十进制功能和六进制功能,下面以秒针计数器为例介绍一下其具体运行方式。

秒针个位计数器U33:

47LS161被接成十进制计数器,其置数输入端A、B、C、D接低电平,/CLR、ENP、ENT 接高电平,秒脉冲由CLK端输入。计数器的输出端QA、QB、QC、QD接译码电路4511BP的输入端DA、DB、DC、DD。当秒脉冲输入时,电路状态按二进制自然序列依次递增1,QA、QB、QC、QD输出为0000、0001、0010、0011、0100、0101、0110、0111、1000、1001,当输出为1010也就是10时,QA、QC输出都为1,QB、QD输出为0,经过一个与非门U39A 后将输出信号1送往该计数器的/LOAD端,当74LS161的/LOAD端输入高电平时,会将输入A、B、C、D端的数据置入QA、QB、QC、QD端,即QA、QB、QC、QD端输出信号0000,这样就完成了秒计数器的清零,另一路经U37A反相后作为进位脉冲送入秒针十位计数器的脉冲输入端。

秒针十位计数器U32:

在这里74LS161被接成六进制计数器,接法与秒针个位计数器相同,秒针个位计数器送来的进位脉冲送入秒针十位计数器的脉冲输入端,使其按二进制自然序依次递增1,QA、QB、QC、QD端输出为0000、0001、0010、0011、0100、0101,当输出为0110也就是6时,QB、QC输出为1,QA、QD输出为0,QA、QC经过一个与非门U38A后一路先送往秒十位计数器的/LOAD端,清零方式与秒针的个位清零方式相同,将整个秒针计数器清零,另一路经U36A反相后作为进位脉冲送入分个位计数器的脉冲输入端。

图3.6为74LS161芯片的引脚图:

图3.6 74LS161芯片的引脚图图3.7为秒针部分的计数器电路:

图3.7 秒针部分计数器电路连接图图3.8为分和秒的电路连接图的封装模块:

图3.8分针和秒针计数器电路的封装模块

“12翻1”小时计数器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”规律计数的。由分计数器送来的进位脉冲送入时个位计数器U30,电路在分进位脉冲的作用下按二进制自然序列依次递增1,当计数到12,这时小时个位输出端QA、QB、QC、QD输出0010(也就是2),小时十位计数器U26输出端QA、QB、QC、QD输出0001(也就是1),U26只有QD端有输出,U30只有QC端有输出,将U30的QC端接一个二输入与非门U27A,U27A输出先送入十位计数器U26的/LOAD端,然后送入小时个位计数器U30的/LOAD 端,其清零方式与秒针的个位清零方式相同。小时的个位部分每10小时清零并向小时十位计数器送进位脉冲,当十位输出为一,小时个位输出为二时,将整个电路清零,实现12进制计数功能。

图3.9为12进制计数器电路:

图3.9 时的计数器电路连接图

图3.10为时的计数器电路连接图的封装模块:

图3.10时计数器电路的封装模块

3.1.4 校时电路

数字钟启动后,每当数字钟显示与实际时间不符时,需要根据标准时间进行校时。校“秒”时,采用等待校时。校“分”、“时”的原理比较简单,采用快速校时。对校时电路的要求是,在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。其中校时和校分的电路连接方式相同,下面就以校时为例进行一下工作原理说明。

Multisim仿真软件校时的具体设计方法是:用一个单刀双掷开关切换计数功能与校时功能,如图3.11所示,J7即为一个单刀双掷开关,当开关打向上时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,“时”进位脉冲信号CLK可以顺利进入“时”计数器,故校时电路处于正常计时状态;当开关打向下时,情况正好与上述相反,这时校时电路处于校时状态,进位脉冲被屏蔽,进位信号始终为0。该方式能较快地校准计数器的计数值。校准后,将校正开关恢复原位,数字钟继续进行正常计时工作。

图3.11校时电路校分电路图

图3.12为校时电路的封装模块:

图3.12 校时电路封装模块

校秒采用等待校时方式,如图3.13所示,校秒电路的连接方式很简单,通过一个单置开关J5将秒针输入信号CLK进行截断,从而实现秒针的等待校时方式。

图3.13 校秒电路图

图3.14为校秒电路的封装模块:

图3.14校秒电路的封装模块

3.1.5 闹钟电路

在本次课程设计中,对于多功能数字中的闹钟扩展部分,选用了4片74LS85数据选择芯片和三位比较器来实现,而闹钟的设定部分则是由4片四项选择开关组成。

4片74LS85数据选择芯片串联组成闹钟主体电路,分别将时针部分和分针部分的各个输出端按照从左到右的顺序接到每个74LS85芯片的A3、A2、A1、A0端,然后将74LS85芯片各个B3、B2、B1、B0引脚接到对应的四项选择开关上,开关的输入端全部接到高电平上。当这样接入时,如果我们需要设定闹铃,就用芯片连接的开关进行置数,其对应方式为:J1对应U1为时针十位部分的设定;J2对应U4为时针个位部分的设定;J3对应U5为分针十位部分的设定;J4对应U6为分针个位部分的设定,且其设定方式为二进制计数方式,例如,拨码开关依次为0000,0100,0100,1010,此时表示为2点25分,当计时模块的输出端输出的数据与我们置入的数据相同就会从每一块74LS85芯片的OAEQB端口输出一个高电平,输出的高电平经四位与非门U7A后变为低电平输入给非门U8A,经U8A 取反后输出给蜂鸣器,使蜂鸣器开始工作发出声响。为了使闹钟闹铃的现象更加明显,我们在蜂鸣器的输入端接入了一个二极管发光装置,这样在蜂鸣器运作的同时二级管发光装置同时工作,可以清晰的观察出闹铃的闹时现象。

蜂鸣器与二极管发光装置的运作时间为一分钟。将秒针十位部分的输入端DA、DC接入一个74LS08与门芯片U25A,分针个位部分的输入端DA、DD接入U24A,分针十位部分的输入端DA、DC接入U23A,并将U24A与U25A的输出端接入U22A,U22A和U23A的输出端接入U21A,U21A的输出端接入蜂鸣器的输入端口,在闹钟开启阶段,当秒针部分向分针部分进位时,即为完成了一分钟的闹铃工作,信号传入47LS08与门芯片中,使蜂鸣器输入信号截止,蜂鸣器停止响铃,完成一分钟闹铃工作,达到闹钟的功能。

图3.15为74LS08与门芯片的引脚图:

图3.15 74LS08与门芯片的引脚图图3.16为闹钟电路内部线路图:

图3.16闹钟电路内部线路图

图3.17为闹钟部分的电路封装模块:

图3.17闹钟部分电路封装模块

3.1.6 整点报时电路

整点报时的功能要求是:每当数字钟计时快到整点时发出声响。我们将整点报时功能设计为当时钟还有十秒到整点时,蜂鸣器开始响,即报时功能持续时间为十秒。

此电路是通过五个与门和一个蜂鸣器来实现的,每当分钟的十位为5(即U13的输入端DA、DB、DC、DD为0101时),个位为9(即U15的输入端DA、DB、DC、DD为1001时),并且秒钟的十位为5时(即U17的输入端DA、DB、DC、DD为0101时),蜂鸣器接高电平,开始工作;当到达整点时,即为分针部分以及秒针的十位部分为0时,蜂鸣器接入低电平,停止工作,从而完成整点报时功能。

图3.18为某一时刻的整点报时电路图:

图3.18整点报时部分电路图

4 仿真调试与结果分析

4.1 总体仿真图

开始仿真后,秒钟部分开始以1s为周期进行递增,并能向分钟和时钟部分进位,完成数字钟的基本功能。

图4.1多功能数字钟运行时的电路状态图

4.2 各个功能仿真调试

4.2.1 校时电路仿真调试

当数字钟时间不准确时,则需要手动调整时间。

如下图所示,单刀双掷开关J7、J8分别对应着时钟与分钟部分。当两开关均掷向上方时,数字钟正常工作;当J8掷向下方时,则分钟部分开始以1s为周期开始递增,当J7掷向下方时,时钟部分则开始以1s为周期开始递增。秒钟部分则由J5控制,当开关闭合时秒钟以1s为周期递增;当开关打开时,秒钟则停止走动。

在电路需要调整时拨动开关,当调整到正确时间后将开关拨回即可。其中X12为时针部分校时电路,X14为分针部分校时电路。

图4.2多功能数字钟电路校时部分总图

4.2.2 闹钟电路仿真调试

将拨码开关调至如图4.3状态,即将闹钟定为2:25,则开始仿真。

图4.3闹铃部分设定示意图

当数字钟还未运行到2:25时,探针不亮,即闹钟不会响起,状态如图4.4所示:

图4.4闹铃启动前示意图

当时钟与分钟到达2:25时,在此一分钟内,探针亮,即闹钟响,过了此时间后,闹钟停。

闹钟响时的电路仿真如图4.5所示:

图4.5闹铃启动后示意图

4.2.3 整点报时电路仿真调试

当数字钟离整点还差10秒以上时间时,探针不亮,蜂鸣器无反应,状态如图4.6所示:

图4.6整点报时启动前示意图

当数字钟离整点差10秒时,探针亮起,蜂鸣器响,如此持续10秒,过了整点后停止。

整点报时的电路仿真如图4.7所示:

毕业设计(论文)-基于单片机多功能电子时钟的设计与仿真(含程序仿真)[管理资料]

程序仿真等全套设计,联系153893706 第1章绪论 二十一世纪的今天,最具代表性的计时产品就是电子万年历,它是近代世界钟表业界的第三次革命。第一次是摆和摆轮游丝的发明,相对稳定的机械振荡频率源使钟表的走时差从分级缩小到秒级,代表性的产品就是带有摆或摆轮游丝的机械钟或表。第二次革命是石英晶体振荡器的应用,发明了走时精度更高的石英电子钟表,使钟表的走时月差从分级缩小到秒级。第三次革命就是单片机数码计时技术的应用(电子万年历),使计时产品的走时日差从分级缩小到1/600万秒,从原有传统指针计时的方式发展为人们日常更为熟悉的夜光数字显示方式,直观明了,并增加了全自动日期、星期、温度以及其他日常附属信息的显示功能,它更符合消费者的生活需求!因此,电子万年历的出现带来了钟表计时业界跨跃性的进步…… 我国生产的电子万年历有很多种,总体上来说以研究多功能电子万年历为主,使万年历除了原有的显示时间,日期等基本功能外,还具有闹铃,报警等功能。商家生产的电子万年历更从质量,价格,实用上考虑,不断的改进电子万年历的设计,使其更加的具有市场。 本设计为软件,硬件相结合的一组设计。在软件设计过程中,应对硬件部分有相关了解,这样有助于对设计题目的更深了解,有助于软件设计。基本的要了解一些主

要器件的基本功能和作用。 除了采用集成化的时钟芯片外,还有采用MCU的方案,利用AT89系列单片微机制成万年历电路,采用软件和硬件结合的方法,控制LED数码管输出,分别用来显示年、月、日、时、分、秒,其最大特点是:硬件电路简单,安装方便易于实现,软件设计独特,可靠。AT89C52是由ATMEL公司推出的一种小型单片机。95年出现在中国市场。其主要特点为采用Flash存贮器技术,降低了制造成本,其软件、硬件与MCS-51完全兼容,可以很快被中国广大用户接受。 本文介绍了基于AT89C52单片机设计的电子万年历。 选题背景及研究的目的与意义 设计的目的 电子钟已成为人们日常生活中必不可少的物品,广泛用于个人家庭以及车站码头、剧院、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。随着技术的发展人们已经不再满足于钟表原先简单的计时功能,希望出现一些新的功能,诸如日历的显示闹钟的应用等,以带来更大的方便,而所有这些,又都以数字化的电子时钟为基础的。因此,研究实用电子时钟及其扩展应用,有着非常现实的意义,具有很大的实用价值。 研究的意义 由于数字集成电路的发展采用了先进的石英技术,现代电子时钟具有走时准确性能稳定制作维修简单等优点,弥补了传统钟表的许多不足之处。我们利用单片机技术设计制作的电子万年历,可以很方便的由软件编程进行功能的调整和改进,使其能够准确显示年月日时间星期的同时,还能具有很多其他功能。如设置闹钟语音报时阴阳历的转换二十四节气的显示等,有一定的新颖性和实用性,同时体积小携带方便,使用也更为方便,具有技术更新周期短成本低开放灵活等优点,具备一定的市场前景。这里介绍的就是一款可满足使用者特殊要求,输出方式灵活计时准确性能稳定维护方便的使用电子万年历。采用单片机进行遥控系统的应用设计,具有硬件接口简单方便,变成灵活多样,操作码个数可随意设定等优点。 研究内容 1、选用电子万年历芯片时,应重点考虑功能实在、使用方便、单片存储、低功耗、

多功能数字钟设计论文

摘要 数字电子钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。本设计实验以中规模数字集成电路为主,介绍一种数字电子钟的设计方法。用555定时器组成的多谐振荡器、计数器、译码器和校时电路组成。采用了74LS系列中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。本次课程设计还采用了层次模块的设计理念,使整体电路简单化,实现了电路的实效意义。 通过本次设计实验与制作:进一步加强数字电路综合运用能力,掌握数字电路的设计技巧,增进实践能力;熟悉数字电子钟的工作原理;了解并掌握数字电子钟系统设计、组装、调试及故障排除方法。 关键词:振荡器;计数器;译码器;分频器;校时电路

目录 1 绪论 (1) 1.1设计目的及意义 (1) 1.2 Multisim概述 (1) 1.3 Multisim 10操作步骤 (1) 2 多功能数字钟设计方案的确定 (2) 2.1设计方案原理构思 (2) 2.1.1设计主要原理 (2) 2.1.2设计电路原理框图 (3) 3 数字钟基本原理与方案设计 (3) 3.1 各模块电路分析 (3) 3.1.1 1Hz标准脉冲发生器 (3) 3.1.2 译码显示电路 (5) 3.1.3 计数器电路 (8) 3.1.4 校时电路 (11) 3.1.5 闹钟电路 (13) 3.1.6 整点报时电路 (15) 4 仿真调试与结果分析 (16) 4.1 总体仿真图 (16) 4.2 各个功能仿真调试 (16) 4.2.1 校时电路仿真调试 (16) 4.2.2 闹钟电路仿真调试 (17) 4.2.3 整点报时电路仿真调试 (18) 4.3 分析总结 (19) 4.4遇到问题及解决方法 (19) 5 心得体会 (20) 参考文献 (21) 附录 (22) 附录一元器件清单 (22) 附录二电路总图 (23) 附录三电路简化图 (24)

多功能数字钟的设计及制作 论文

题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 题目: 多功能数字钟的设计及制作 初始条件: (1)准确计时,显示时分秒 (2)小时12翻1,分秒60进1 选做: 设计可校正时间的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月27日~6月30日:明确课题,收集资料,方案确定,仿真 7月1日~7月4日:硬件电路制作与调试 7月5日~7月8日;报告撰写,交设计报告,答辩 指导教师签名:年月日 系主任(或责任教师)签名:年月

摘要 (1) 多功能数字钟的设计及制作 (2) 1数字钟的设计内容及要求 (2) 1.1数字钟的设计内容 (2) 1.2数字钟的设计要求 (2) 2电路设计方案及其论证 (2) 2.1数字钟系统总电路图 (2) 2.2数字钟的原理框图 (2) 2.3数字钟的基本原理 (2) 3单元电路 (4) 3.1计时电路 (4) 3.2脉冲信号源——多谐振荡电路 (6) 3.3显示电路 (7) 3.4校时电路 (8) 4硬件电路的设计及其制作与调试 (9) 4.1仿真使用的系统 (9) 4.2制作与调试的方法和技巧 (10) 4.3测试的数据和理论计算的比较分析 (10) 4.4制作与调试中出现的故障、原因及排除方法 (10) 5本设计的方案优势及与被排除的方案的对比 (11) 6系统需要的各类软件和硬件 (12) 结束语 (13) 参考文献 (14) 附录 (15)

课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

目录 1前言 (1) 2总体方案设计 (2) 2.1设计内容 (2) 2.2设计内容 (2) 2.3方案论证 (3) 2.4方案选择 (4) 3单元模块设计 (5) 3.1各单元模块功能介绍及电路设计 (5) 3.1.1 温度采集电路 (5) 3.1.2 DS1302时钟电路 (5) 3.1.3 串行通信接口电路 (6) 3.1.4 USB连接电路 (6) 3.1.5 按键电路 (7) 3.1.6液晶显示显示电路 (7) 3.2特殊器件介绍 (7) 3.2.1 STC89C52单片机芯片 (7) 3.2.2 DS1302介绍 (8) 3.2.3 温度传感器DS18B20 (9) 3.2.4 液晶显示LCD1602 (9) 4软件设计 (10) 4.1软件选择 (10) 4.2软件设计流程 (10) 4.2.1 温度采集流程 (11) 4.2.2 日期数据处理流程 (12) 5系统的仿真及调试 (13) 5.1系统仿真 (13) 5.2硬件调试 (13) 5.3软件调试 (14) 6结论 (16) 7总结与体会 (17) 7.1设计小结 (17) 7.2设计收获及改进 (17) 7.3致谢 (17) 8参考文献 (18) 附录: (19)

1前言 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机也被称为微控制器(Microcontroller),它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。单片机诞生于20世纪70年代末,经历了SCM、MCU、SOC三大阶段。 STC单片机完全兼容51单片机,并有其独到之处,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,由于这些特点使得 STC 系列单片机的应用日趋广泛。本文设计了一种基于STC公司的STC89C52单片机,使用Dallas 的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示,本次设计的多功能数字钟采用数字电路对日期和温度进行控制设置,我们采用LCD液晶显示,以24小时的计时方式,根据LCD显示原理进行显示,定时器计数。在本次设计中,电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

基于FPGA的多功能数字钟设计

本科生毕业论文(设计) 题目: 基于FPGA 的多功能数字钟设计 学 院 电子信息工程学院 学科门类 工学 装 订 线

基于FPGA的多功能数字钟设计 摘要 当前基于各种数字器件的数字钟种类繁多,对于不同的数字器件的设计方式有所不同,例如基于单片机的数字钟设计和基于CPLD的数字钟设计以及基于FPGA的数字钟设计等等。可以根据不同的设计要求如响应灵敏度等选择不同的数字器件进行合理设计。 本文介绍基于FPGA的多功能数字钟设计,FPGA具有硬件实现数据处理具有实时性高,可靠稳定的优点。在硬件方面主要由控制开关、消抖电路、APEX 20KE FPGA 和LED显示等几部分组成。在编程方面采用VerilogHDL语言实现编程,仿真环境采用MAX+PLUSⅡ软件,以实现数字计时,闹铃和定点报时等功能设计。该系统具有体积小、功耗低、价格便宜、安全可靠,维护和升级方便的优点,具有较好的应用前景。 关键词:数字钟 FPGA VerilogHDL MAX+PLUSⅡ

The Digital(多功能)Clock Based on FPGA ABSTRACT There are many of logic devices which are based on the digital clock at present.The methods are different For a different logic device design. For example, The digital clock based on SCN(Switched Circuit Network), The digital clock based on CPLD(Complex Programable Logic Device)and The digital clock based onFPGA (Field Programmable Gate Array),and so on.We can choosing a different logic devices reasonable to design based on different design requirements such as sensitivity. This article introduces the digital clock based on FPGA. FPGA with data-processing hardwareWith real-time high, the advantages of reliable stability. In terms of hardware from the main control switches, circuit Consumers buffeting, APEX 20KE FPGA and the LED display,We using Programming VerilogHDL hardware On the hardware side programming,and they can digital hardware logic on time, alarm and fixed-point design features such as timekeeping Key words:The Digital Clock Field Programmable Gate Array VerilogHDL MAX+PLUSⅡ

基于51单片机的数字钟毕业论文

基于51单片机的数字钟毕业论文

化工配料与控制论文 论文题目:基于51单片机的数字钟设计 专业:自动化 姓名:冉茂林 班级:1001 学号:201040193

1.论文主要概述 本文介绍的设计是针对多功能定时器。该定时器操作简单,功能齐全,是单片机智能化的一种应用。电路可以执行两个时间表,即正常作息时间表和考试时间表。本文主要采用了51系列的单片机实现的。 随着电子技术的飞速发展,家用电器和办公电子设备逐渐增多,不同的设备都有自己的控制器,使用起来很不方便。根据这种实际情况,设计了一个单片机多功能定时系统,它可以避免多种控制器的混淆,利用一个控制器对多路电器进行控制,同时又可以进行时钟校准和定点打铃。它可以执行不同的时间表(考试时间和日常作息时间)的打铃,可以任意设置时间。这种具有人们所需要的智能化特性的产品减轻了人的劳动,扩大了数字化的范围,为家庭数字化提供了可我阅读后,主要是三个方面,片外硬件电路的设计,即按键电路的设计,控制打铃电路,时间显示,电源的设计,最后就是软件的设计。 2.论文优点 按键电路: (1)按键的开关状态通过一定的电路转换为高、低电平状态。按键闭合过程在相应的I/O端口形成一个负脉冲。闭合和释放过程都要经过一定 的过程才能达到稳定,这一过程是处于高、低电平之间的一种不稳定 状态,称为抖动。抖动持续时间的常长短与开关的机械特性有关,一 般在5-10ms之间。为了避免CPU多次处理按键的一次闭合,应采用 措施消除抖动。本文采用的是独立式按键,直接用I/O口线构成单个 按键电路,每个按键占用一条I/O口线,每个按键的工作状态不会产 生互相影响。 (2) P1.0口表示功能移位键,按键选择要调整的时十位、时个位、分十位或分个位。 (3) P1.1口表示数字“+“键,按一下则对应的数字加1。 (4) P1.2口表示数字“-”键,按一下则对应的数字减1。 (5) P1.3口表示时间表的切换,程序默认为日常时间表,当按下该开关,使输入为低电平时,表示当前执行的是考试时间表,并有绿发 光二极管显示。再按键,使键抬起,输入维高电平时,表示当前执行 的是日常作息时间表,用红发光二级管显示。 控制打铃电路: P1.5口控制继电器进而控制电铃工作。当时钟当前的时间和当前所执行的时间表的时间一致时,相应得标志位为1,P1.5口输出高电平,控制继电器闭合,从而合上开关,启动电铃进行打铃。打铃一定时间,标志位置0,P1.5输出低电平,继电器打开,电铃停止工作。 时间表显示电路: 数码管显示器成本低,配置灵活,与单片机接口简单,在单片机应用系统

基于单片机的数字电子钟本科毕业设计毕业论文

基于单片机的数字电子钟本科毕业设计毕业论文 I 054 02 II AT89S51 摘要:本文介绍了一款基于AT89S51单片机数字钟的设计,通过多功能数字钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。论文重点阐述了数字钟硬件中 MCU模块、语音模块、时钟模块和相关控制模块等的模块化设计与制作;软件同样 采用模块化的设计,包括中断模块、闹钟模块、语音模块、时间调整模块设计,并采 用简单流通性强的C语言编写实现。本设计实现了时间与闹钟的修改功能、语音播 报功能、年、月、日和星期的显示功能。并且通过对比实际的时钟,查找出了误差的 来源,确定了调整误差的方法,尽可能的减少误差,使得系统可以达到实际数字钟的 允许误差范围内。 关键词:AT89S51单片机;数字钟;语音播报 III The design of digital electronic clock base on SCM of

AT89S51 Abstract:This paper introduced the design of digital clock based on SCM of AT89S51, the specific process of how the system hardware and software achieved were detailed description through the design of multifunction digital clock. The modular design and production, which consisted of MCU module, voice module,clock module and the associated control module, were mainly recounted;As well as hardware designing,software design use the same method, consists suspension module,alarm clock module, voice module, time adjust module, and that use the C language to achieve because of its simple and strong negotiability. In this design the functions of time and alarm clock run and change, voice broadcast,functions of the year, month, day and week display have been achieved. And by comparing the actual clock, find out the source of the error and determined the method of adjusting error, reduce errors as much as possibly, so this system can achieve a practical digital clock with error within the permissible range. Key words :AT89S51 microcontroller; Digital clock; Voice Broadcast IV 目录

数字电子钟毕业论文

数字电子钟毕业论文 数字电子钟毕业论文 引言: 数字电子钟作为一种常见的时间显示设备,广泛应用于我们的日常生活中。本篇论文旨在对数字电子钟的原理、应用以及未来发展进行研究和探讨。通过对数字电子钟的深入分析,我们可以更好地理解其工作原理,并为其进一步的发展提供一些思路和建议。 一、数字电子钟的原理 数字电子钟的原理主要基于电子技术和计时技术。它通常由数字显示屏、时钟芯片、电源和控制电路等组成。时钟芯片负责计时和时间管理,数字显示屏用于显示时间,电源为整个系统提供能量,控制电路则控制时钟的运行和功能。 二、数字电子钟的应用 数字电子钟在日常生活中有着广泛的应用。首先,它是我们家庭中常见的时间显示设备,可以方便地告诉我们当前的时间。其次,数字电子钟也被广泛应用于公共场所,如学校、医院、车站等,用于提供准确的时间信息。此外,数字电子钟还可以用于工业生产中的时间同步和计时控制,提高生产效率。 三、数字电子钟的发展趋势 随着科技的不断进步和人们对时间管理需求的不断增加,数字电子钟也在不断发展和创新。未来,数字电子钟可能会朝着以下几个方向发展。 1. 多功能化:数字电子钟不仅仅是时间显示的工具,还可以集成其他功能,如温度显示、闹钟、倒计时等,提供更多的实用性。 2. 智能化:通过与其他智能设备的连接,数字电子钟可以实现更多的智能化功

能,如与手机连接实现远程控制、与家庭智能系统连接实现自动化控制等。 3. 网络化:数字电子钟可以通过网络连接,实现时间同步和远程控制。这样, 无论在哪里,我们都可以准确地获取时间信息,并进行控制。 4. 节能环保:未来的数字电子钟可能会采用更先进的节能技术,减少能源消耗,降低对环境的影响。 结论: 数字电子钟作为一种常见的时间显示设备,不仅在日常生活中发挥着重要的作用,而且在未来的发展中也将有更广阔的应用前景。通过对数字电子钟的研究 和探讨,我们可以更好地理解其原理和应用,并为其未来的发展提供一些思路 和建议。希望本篇论文能够为读者对数字电子钟的认识提供一些帮助,并促进 数字电子钟在科技领域的进一步发展。

毕业设计(论文)基于单片机的多功能数字电子钟设计

XXXXXXX 毕业设计 题目基于单片机的多功能数字电子钟姓名xxx 学号xxx 专业班级xxx 分院xxx 指导教师

xxxx年xxx月xxx日 摘要 多功能数字钟的应用非常普遍,由单片机作为数字钟的核心控制器,通过它的时钟信号进行实现计时功能,将其时间数据经单片机输出,利用显示器显示出来。通过键盘可以进行校时、定时等功能。输出设备显示器可以用液晶显示技术和数码管来显示技术。 本系统利用单片机实现具有计时、校时等功能的数字时钟,是以单片机AT89C51为核心元件同时采用LED数码管显示器动态显示“时”,“分”,“秒”的现代计时装置。另外具有校时功能,秒表功能,和定时器功能,利用单片机实现的数字时钟具有编程灵活,便于功能的扩充等优点。 简要介绍了LED显示的发展状况和其所特有的优势,简述了该系统中一些重要芯片的基本工作原理,着重论述了硬件线路各个模块的设计思想。数码管与单片机的接口采用动态显示技术,利用了时钟芯片的系统自带电池功能来实现断电时保存一些重要数据,以便来电时正确显示信息的功能。 模块化的设计和调试方法在整个课题研究过程中至关重要,事实上在任何设计中也同样关键和有效。 关键词:数字钟系统单片机LED液晶显示器

ABSTRACT Multi-function digital clock in the application is already very common. SCM as a digital clock from the core controller, it can achieve the clock signal timing, its time data by the MCU output, use of monitors displayed. Keyboard can be carried out at the school, timing, and other functions. Output devices can be used liquid crystal display monitors and digital technology to display the technology. The system uses MCU with time, the school features such as the digital clock, SCM AT89C51 is also used as the core components of the LED digital display dynamic display "when" and "points" and "seconds" of the modern time device .In addition a school function, stopwatch function, and the timer function to achieve MCU use of the digital clock with programming flexibility to facilitate the expansion of functional advantages. This paper gives a general description of development situation of LED display and advantages of LED products as display .It also introduces the basic functions of some relative vital chips briefly . Solutions of the key parts are also introduced with particular description. Dynamic display interface between LED display and MCU are used .It also can save some important data at the moment of power-off by making use of RTC chip's system self-contained battery in order to make sure accurate information are present whenever power-on. Modularized design and debug are most important in the whole course of the topic research. In fact, it is as well as vital and effective in any other design course. Keywords: Digital clock system SCM LED LCD Monitor

多功能电子钟的设计与实现

多功能电子钟的设计与实现 随着现代社会的不断发展,人们生活节奏也越来越快。时间意识的强化和对时间的精确度要求也不断提高。电子钟作为时间显示的主要设备之一,在每个家庭和公共场合中都显得尤为重要。基于此,本文将介绍一种多功能电子钟的设计与实现。 一、电子钟的基础原理 电子钟的基础原理是利用稳定的时间基准源,将电路中的计数器运算得到时间参数,并将参数转化为物理显示。其核心部件为晶振和计时器,晶振生成一个稳定且频率精确的信号,计时器将信号经过运算得到时间参数,再通过驱动显示设备显示出来。 二、多功能电子钟的基础设计 多功能电子钟是在电子钟基础上增加其他实用功能的基础上改进而来的。这种电子钟能够显示时间、日期,同时还具有室温、湿度、闹钟、定时开关等多种功能,方便人们生活。它的基本设计包括电路设计和硬件设计两部分。 1.电路设计 电路设计是多功能电子钟的核心,其参数准确性和功能性是实现此类电子产品成功的基础。电路设计中必须考虑到信号

放大器、计时器、数字转换、电源管理等多个要素。同时,还需考虑CPU芯片的选型和相应的软件程序设计。 信号放大器:对从晶振的输出端口得到的信号进行放大,以便CPU芯片可对信号进行计时。 计时器:确定日期和时间的计时器是电子钟关键组件。电路中计数器运算并将其转化为数字显示。另外,为了达到高度精确的计时目标,计时器还需校准。 数字转换:要确保所有的操作都能通过数字方式显示和转化。这种电子产品的设计需要包括外围的数字转换器,以便数字能被转换为物理状态,如LED显示屏。 电源管理:电源必须可靠且低功耗。由于电子钟要长时间工作,因此保证电源的稳定供电无疑也是必要的。 2.硬件设计 硬件设计是指吸收电路设计输出,确定和选择相应的部件以及构建相应设备。硬件设计中同样需要考虑到耗电量、性能、实用性和可用性等因素。 显示屏:多彩LCD屏幕广泛应用于各种电子产品中。为了实现多功能电子钟的多种显示需要,需要选择合适的LCD显示器。 传感器:根据电子钟的功能,室温、湿度、气压和周日等传感器需要被加入。这些传感器将在后续的软件设计中起着重要作用。

数字钟论文完整版

论文题目:电子钟的设计 学院:信息工程学院 专业:通信工程 班级:通信091班 学号:0906220123 姓名:陈宇 指导教师:邬春明 目录 第一节摘要 3 第二节引言 4 第三节设计方案的选择与论证 5 第四节数字电子钟的设计 6 4.1 设计思想、任务及要求 6 4.2 电路设计及工作原理 6 4.3 子电路图及工作原理7 第五节原件介绍和心得体会15 第六节参考文献16 第一节摘要 随着社会的发展,人们的生活节奏也越来越快,时间观念也更为突出,精准的报时工具当然更受大家的青睐,传统的机械表无法满足人们精确的时间计算。在科学技术不断发展的今天,精确到0.1秒甚至更精确的数字电子钟作为人们精准计时工具显得日趋重要。 本次的设计题目是基于计数器的数字电子钟的设计,系统由74160与数码管作为主要原件。它能完成以下功能:实现时钟的星期、时、分、秒、0.1秒时的显示,整点报时,半点报时,时间可以根据用户随时设置等功能。 关键词:数字电子钟、数码管显示、整点报时、半点报时 第二节引言 社会在发展,科学在进步,人们的生活节奏也在不断加快,当然随之而来的是很重的

时间观念,“一寸光阴一寸金,寸金难买寸光阴”成了很多人的座右铭,可见每一秒的时间对人们的意义都大不同于以前。在很多领域,如教育,医疗,体育等很多地方都需要精确的报时工具,传统的机械报时工具也已经满足不了人们的对时间的要求了,所以数字电子钟日益入主了人们的日常生活,广泛地应用到各个方面给个领域。 数字电子钟是用数字集成电路或专用芯片做成的计时器,一般采用数码管或发光二极管直接显示“时”、“分”、“秒”、“0.1秒”,具有直观性。除此之外它还具有整点报时、按作息时间报时等功能,所以数字电子钟在如今节奏很快的生活中得到了广泛的应用。 第三节设计方案的选择与论证 数字钟也是一种小型的数字系统,由若干个数字电路和逻辑部件构成,可以处理、传送数字信息,完成特定的功能。一般来说,一个数字系统应包括输入电路、输出电路、处理电路、处理电路、存储电路和控制电路五部分。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路和振荡器组成。 方案一:利用单片机,配合逻辑电路来控制数字电子钟的工作。 方案二:采用74160为主体芯片,配合555定时器、逻辑电路和时序电路来完成多功能数字电子钟的设计。用ewb仿真软件进行仿真进行模拟仿真。 根据任务书的要求和现在所学知识,最终决定采用方案二,以74160为主体原件和ewb仿真软件进行多功能数字电子钟的设计和仿真。数字电子钟的设计方案二由以下部分组成,分析系统的性能要求,画出系统方框图,将总体设计要求分解成若干个具有相对独立功能的分项任务。设计完成各部分子系统的组合逻辑电路、时序逻辑电路等。最后将各个子系统连成整体,进行组装、调试,检验是否满足设计要求,不断修改、完善设计方案。最后采用ewb 软件进行仿真测试。 第四节数字电子钟的设计 4.1设计思想、任务及要求 数字电子钟采用74160和数码管为主要元器件,配合数字逻辑电路和时序电路,完成数字钟的时间显示、报时和用户设置等功能。基于数字电子的课程学习,对74160和与非门有了一定的了解,在此基础上,查阅一些书籍和资料进行学习、总结和提炼,设计出了总体的思路,明确了解设计的目的和所要完成的功能,确定用何种器件,单独各部分子电路的设计与调试,整体电路的组装和调试。最后用ewb软件进行仿真测试。

多功能时钟毕业设计论文

多功能电子时钟 摘要 本设计采用LCD液晶屏幕显示系统,以STC89C52RC单片机为核心,由键盘、温度采集、定时闹铃、日期提醒等功能模块组成。基于题目根本要求,本系统对时间显示、闹铃方式进和温度采集系统行了重点设计。此外,扩展了整点报时、非易失闹铃信息存储、国外重要节日提醒等功能。本系统大局部功能由软件来实现,吸收了硬件软件化的思想,大局部功能通过软件来实现,使电路简单明了,系统稳定性大大提高。本系统不仅成功的实现了要求的根本功能,多数发挥局部也得到了实现,而且还具有一定的创新功能。 关键字: LCD液晶显示,双电源供电,温度采集,生日提醒,重要节日提醒,整点报时 MULTI-FUNCTIONAL ELECTRONIC CLOCK ABSTRACT This design USES the LCD screen display system, to STC89C52RC singlechip, keyboard, temperature gathering, regular alarm functions, date remind module. Based on the basic requirements, the topic of time display, alarm system and temperature gathering way into the key design. In addition, the e*panded on time, non-volatile storage and alarm messages and important festivals remind etc. Function. The system software to realize the function of most of the hardware and software, the absorption of thought, to realize the function of software, the circuit is simple, the system stability is greatly improved. This system not only successfully realized the requirements of the basic functions, most of the play, but also got certain innovation function KEY WORDS: STC89C52RC microcontroller, LCD display, double power supply, temperature,birthday reminder, the important festivals remind viewers, Talkclock

毕业论文12864多功能数字钟

毕业论文12864多功能数字钟

题目:多功能数字钟

摘要 此多功能数字钟系统以89C55单片机作为控制核心,其外围电路包括时钟闹钟模块、温度测量模块、交流电电压测量及过欠压报警模块、交流电频率测量模块。其中使用串行时钟芯片PCF8563,实现时间的显示设置和闹钟功能。通过温度传感器AD590、 AC-DC转换芯片AD536及模数转换芯片ICL7135实现温度和交流电压的测量。利用波形转换电路和单片机内部定时器测量交流电的频率。利用光电开关实现非接触关闭闹钟功能。本系统很好的完成了题目要求的基本及发挥要求,并进行了进一步的扩展。 关键词:89C55单片机 AD536 ICL7135

目录 1、引言 (3) 2、方案论证与比较 (3) 3、系统原理框图 (3) 4、主要电路设计与计算..................................................................4 4.1基本功能部分 (4) 4.2温度测量部分 (8) 4.3交流电特性测量部分..................................................................11 5、系统软件工作流程图 (14) 5.1软件说明 (14) 5.2系统软件流程图 (14) 6、系统性能测试与分析 (15) 6.1测试仪器 (15) 6.2测试方法与数

据 (15) 6.3时钟及闹钟功能测试 (15) 6.4温度测试 (15) 6.5交流电电压测试及过欠压报警 (15) 6.6交流电频率测试 (16) 7、误差分析 (17) 结论 (18) 致谢 (19) 参考文献 (20)

单片机数字电子钟的设计与研究毕业论文

6.4 软件调试问题及解决 (27) 结束语 (29) 参考文献 (30) 致 谢 (31) 第一章绪论 1.1数字电子钟的背景 20世纪末,电子技术获得了飞速的开展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的开展和社会信息化程度的

提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么珍贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 1.2数字电子钟的意义 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的开展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时播送、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为根底的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 1.3数字电子钟的应用 数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的开展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

多功能数字钟(蒋立平给优秀+)

南京理工大学 电子线路课程设计 多功能数字钟设计 (题名和副题名) 指导教师姓名姜萍老师 学院电子工程与光电技术学院 年级2012级专业名称通信工程 论文提交日期2014.12

摘要 本文主要使用VDHL语言完成了多功能数字钟设计,其具有23:32:35计时、清零保持、12/24时制切换、快速校时校分、整点报时、闹钟设置、闹钟响铃(铃声为“小苹果”)、钢琴等功能。利用QuartusII7.0完成设计、仿真等工作。并利用Altera公司开发的Cyclone III系列EP3C25F324C8实验箱实现电路。 本文使用模块化的设计理念,将整体电路分为8个子模块设计,分别为:分频模块、时钟计数与校时校分模块、闹钟设置模块、闹钟响铃模块、整点报时模块、译码显示与计时、闹钟显示复用模块、消颤模块、钢琴模块。 其后,本文给出了本实验的计算机仿真图,并进行结果分析,对实验中出现的问题进行反思,提出未来改进方向,最后在文末给出了本实验所设计的电路的使用说明书。 关键词:VHDL、数字钟、“小苹果”铃声、钢琴

Abstract VDHL language is used to design a multifunctional digital clock in this paper, which has clock of 23:32:35, reset, 12/24 switching, fast hour set and minute set , the whole point timekeeping, alarm setting, alarm bell (bell as "little apple"), piano and other functions. We use QuartusII7.0 to complete the design, simulation and other works.and then use the EP3C25F324C8 experimental box of Cyclone III series developed by the Altera to implement the design. In this paper, the modular design concept is used, and the whole circuit is divided into 9 sub module design, respectively is: frequency division module, clock and minute/hour setting module, alarm setting module, alarm bell module, the whole point timekeeping module, decoding display and timing, alarm multiplexing display module, vibration eliminating module, piano module. Then, the computer simulation diagram is given in this paper, followed by the results analysis, reflections on the problems appeared in the experiment, and putting forward the direction of improvement in the future. Finally, we give the experimental instructions of the circuit design at the end of the paper. Keywords: VHDL digital clock "little apple" bells piano

相关文档
最新文档