多功能数字钟的设计及制作

多功能数字钟的设计及制作

1.设计分析

本次设计的数字钟具有校时功能。我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1

图1-1 总体方框图

2.设计内容

2.1秒脉冲产生部分

本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。555定时器逻辑符号如图2-1所示:

图2-1 555定时器逻辑符号

管脚功能如表2-1所示:

图2-2 秒脉冲电路

根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时

间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。

充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)

t1=(R1+R2)C*㏑2=0.7(R1+R2)C

放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)

t2=R2*C㏑2=0.7R2*C

脉冲周期为: t=t1+t2=0.7(R1+2R2)C

脉冲频率为: f=1/t=1.43/(R1+2R2)C

令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)

代入数据,计算得,f=0.94HZ≈1HZ

基本满足实验要求。

2.2计数部分

计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。74LS具有异步清零和异步置九的功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0,R9都有低电平时,实现计数功能。所以74LS90有两个清零端R0(1)、R0(2),两个置数端R9(1)、R9(2)。其逻辑符号如图2-3和功能表如表2-2如下:

图2-3 74LS90逻辑符号

对于设计所需的60进制和12进制,通过下图的六个74LS90级联和内部的反馈清零达到要求。在六十进制的秒分计数(如图2-4所示)中,它们的个位只需将Q0与CPB相连接,时钟脉冲从CPA输入,则构成了84212BCD码十进制计数器,R0、R9均接地,这样便可以实现0000,0001,0010,…1001,0000…十进制循环计数;而它们的十位,当出现0110时通过Q2,Q1分别接到R0(1),R0(2),来

实现反馈清零,同样将Q0与CPB相连接,R9接地,这样便实现了0000,0001,0010,… 0101,0110→0000,0001…六进制循环计数,而个位与十位的级联,是从个位的Q3接到十位的CPB上,当十位的1001→0000时,Q3刚好产生下降的单脉冲,作为十位的触发脉冲,起到进位的效果;而秒到分的级联,是将秒十位的Q2接到分十位的CPB,当秒十位的0101,0110→0000时,Q2刚好产生下降的单脉冲作为分个位的触发脉冲。分十位到十个位的级联同理。

表2-2 74LS90功能表

图2-4 六十进制计数电路

至于时实现12进制(如图2-5所示),需将十位和个位的R0(1)R0(2)分别相连,然后将十位的Q0接到R0(1),个位的Q1接到R0(2),当十位为0001,个位为0010,即为12时,十位的Q0和个位的Q1均为1,反馈到R0上清零,从而使时的计数实现十二进制计数,0000 0000,0000 0001,0000 0010,…,0001 0001,0001 0010→0000 0000,…循环计数。

图2-5 12进制计数电路

2.3译码显示电路

本部分的电路主要由74LS48译码器和七段共阴数码管构成。

2.3.1译码器

译码器是一个多输入,多输出的组合逻辑电路。它的工作是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换,终端的数字显示,还用于数字分配,存储器寻址和结合控制信号等。本设计中是用于数字的显示,用的是共阴极译码器74LS48(如图2-6所示)。74LS48是七段显示译码器输出高电平有效,具有锁存、译码、消隐功能,通常用来驱动数码管,7448的功能表如表2-3所示,它有3个辅助控制端LT、RBI、BI/RBO,现简要说明如下:

1.灭灯输入BI/RBO

BI/RBO是特殊控制端,有时作为输入,有时作为输出。当BI/RBO作输入使用且BI=0时,无论其它输入端是什么电平,所有各段输入a~g均为0,所以字形熄灭。

2. 试灯输入LT

当LT=0时,BI/RBO是输出端,且RBO=1,此时无论其它输入端是什么状态,所有各段输出a~g均为1,显示字形8。该输入端常用于检查7488本身及显示器的好坏。

3.动态灭零输入RBI

当LT=1,RBI=0且输入代码DCBA=0000时,各段输出a~g均为低电平,与BCD码相应的字形熄灭,故称“灭零”。利用LT=1与RBI=0可以实现某一位的“消隐”。此时BI/RBO是输出端,且RBO=0。

图2-6 74LS48逻辑符号图

4. 动态灭零输出RBO

BI/RBO作为输出使用时,受控于LT和RBI。当LT=1且RBI=0,输入代码DCBA=0000时,RBO=0;若LT=0或者LT=1且RBI=1,则RBO=1。该端主要用于显示多位数字时,多个译码器之间的连接。

从功能表(表2-3)还可看出,对输入代码0000,译码条件是:LT和RBI同时等于1,而对其它输入代码则仅要求LT=1,这时候,译码器各段a~g输出的电平是由输入BCD码决定的,并且满足显示字形的要求。

表2-3 74LS48功能表

2.3.2数码管

数码管根据内部连接的不同分为共阴和共阳数码管。七段共阴数码管就是七个led并联在一个公共的地上,另外的一端分别为a,b,c,d,e,f,g(如图2-8所示),其中的一端如果加高电平,就能使对应的led亮;而七段共阳数码管则是七个led并联在一个公共的Vcc上,另外的一端分别为a,b,c,d,e,f,g(如图2-9所示),其中的一端如果加底电平,就能使对应的led亮;对于七段共阴数码管而言,七个led是排成一个“8”(如图3-7所示),我们可以使a,b,c,d,e,f,g分别赋高电平或低电平,不同的组合可以使不同组合的灯亮,即通过一个7位的二进制电平信号就可以显示出想要的结果。显示数字0-9的led组合如图3-10所示

图2-7 管脚图图2-8 共阴数码管图2-9 共阳数码管

图2-10 数码管显示0-9

每个数字对应的二进制码如图2-10所示。然而,在实际的电路设计中,由处理器完成译码功能再输出一个7位的二进制信号是非常浪费空间和影响效率的。因此,一般采取用7段数码管与译码器相结合的方法来解决这个问题。

2.4校时电路

2.4.1方案一:

如图2-11所示,当S1没有闭合时,S1上端为高电平,经过如图所示的与非门最后到CKB的还是秒进位脉冲,从而不影响时钟正常运行;而当S1闭合时,S1上端为低电平,经过上述的与非门,使CKB接受到了校时脉冲,可以实现连续校时,则能产生单脉冲,实现逐步校时。这个方案中会用到74LS00与非门芯片,其管脚图如图,由四个相互独立的两输入与非门构成。其中与非门的真值表如表3-4所示。

表2-4 与非门真值表

2.4.2方案二

如图3-12所示,只需要两个单刀双掷开关即可实现,开关始终接通的端口与下一需要输入的端口相连,开关的两个选择一个连接正常进位的脉冲输出端,另外一端则直接与脉冲源连接。当开关位于正常进位脉冲输出端时,整个电路正常工作,当开关位于直接连接脉冲源端时,分或时不再受进位脉冲的影响,而直接受脉冲源控制,从而完成校时的功能。

2.4.3方案的比较

方案一,实现校时功能的时候操作简单,同时校时的时候没有影响进位脉冲,但是电路较为复杂,需要用到与非门芯片;方案二,电路简单,使用的元器件较少,没有用到与非门芯片,但是只能实现逐步校时,同时在校时的时候会影响进位脉冲。本次设计考虑到功能实现的完整性,选取方案一。

图2-11 校时电路1

图2-12 校时电路2

2.5总体方案

通过上述四个部分的共同作用可实现多功能数字钟的各个功能,总的仿真电路如图3-13所示:

图2-13 整体设计仿真图

3.组装及调试

在这次多功能数字钟的设计过程中,我们遇到了很多问题,经过我们全组同学的共同努力,将问题一一解决,完成了这次的设计,并达到预期的效果。

1.在第一次连电路时,我们没有按照模块化的方法连电路,最后把整个电路连

出来了,没有出来结果,这时候才发现排查起来很困难,最后我们汲取教训,

重新调整策略,采取边连线边调试的方法,首先,我们接好秒脉冲产生电路,然后就接在秒个位显示电路上,看是否是从0—9逐个显示,然后连其他的五位数码显示,分别接到秒脉冲上,检验,如果没有出现预期的结果,就排查那个部分的电路,然后把这六个级联,最后才练校时电路,这样连线的好处在于出错的几率小,即使出错了也容易排查。

2.在检验秒个位的计数时,发现计数明显过快,怀疑是555计数器脉冲产生电

路出问题,然后锁定脉冲产生电路逐个检查,发现电容C用错了,换上正确的电容C,检验出现预期的结果。

3.在连校时电路完成之后,发现开关没有起到校时的作用,经过我们仔细排查,

发现74LS00的Vcc没有接到电源上,接上之后出现了预期的结果。

4.另外在连线的时候,应该注意布线,清晰条理的布线可以让我们排查的时候

事半功倍。

4.方案的分析

本方案采用74LS90计数器,来实现12进制和60进制,接线较为简单,没有用逻辑门电路,校时电路虽然电路比较复杂,但是实现了比较好的实现了校时功能,至于秒脉冲产生电路,由于用555定时器构成的多谐振荡器,其稳定性和精确度都不高,再加上校时脉冲和秒脉冲均用的是这个电路,更增加了干扰因素。因此,本设计的实现效果不是很理想,当两个脉冲都接到555的输出,秒个位会跳变。如果实验条件允许,可以做两个555定时器构成的多谐振荡器,或者采用石英晶体振荡器,在经过分频电路,产生稳定性好,准确度高的脉冲信号。对于本实验,我们可以扩展一下,再加上一个整点报时电路,那我们就真的实实在在

的掌握了多功能数字钟的设计。

5.总结与体会

数字钟的设计涉及到电路基础,模拟电子及数字电子技术,绝大部分是数字部分的逻辑电路、计数器、译码显示、555定时器等基本原理。数字钟是典型的时序逻辑电路,包括二进制,六进制,六十进制,十二进制的概念。数字钟的设计与制作可以进一步加深对数字电路的了解,本次课程设计的目的在于,有效地让我们把平时的所学理论知识与实际操作相结合,在理论和实验教学基础上进一步巩固易学基本理论及应用知识并加以综合提高,学会将知识应用于实际的方法,提高分析和解决问题的能力。通过这次的课程设计,让我学到了很多东西:

1.要抱有一个良好的心态,不管遇到的题目有多难,都要有自信;

2.要运用正确的方法,要学会利用互联网和书籍寻找有用的资源,要耐心的寻找资料,有条理重组材料,为我所用;

3.我还学会了团队合作,往往完成一件工程,只凭一个人的力量是不够的,遇到问题,应该集思广益;

4.理论与实际往往存在差异,连出来的电路没有仿真电路那么理想,现实中有许多干扰因素。

所有的心得体会势必对我以后的学习和工作有所帮助,衷心感谢学校给我们课程设计的机会和石老师的耐心指导。

参考文献

[1]阎石.数字电子技术基础(第五版).北京:高等教育出版社,2006

[2]康光华.电子技术基础(第五版).北京:高等教育出版社,2006

[3]伍时和. 数字电子技术基础(第一版).北京:清华大学出版社,2009

[4] 吴友宇. 模拟电子技术基础(第一版).北京:清华大学出版社,2009

[5]邱关源.电路(第五版).北京:高等教育出版社,2006

附录

附表1.元器件清单

附图1. 74LS00管脚图

多功能数字电子钟设计

多功能数字电子钟设计 -多功能数字电子钟 多功能数字钟的设计与仿真

一.设计任务与要求 设计任务: 设计一个多功能数字钟。 要求: 1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器) 2.有整点报时功能。(选:上下午、日期、闹钟等) 3. 用中规模、小规模集成电路及模拟器件实现。 4. 供电方式: 5V直流电源 二.设计目的、方案及原理 1.设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)熟悉面包板结构及其接线方法。 (4)熟悉多功能数字钟的构成及工作原理。 (5)熟悉多功能数字钟的设计与制作 2.设计思路 (1)设计数字钟的时、分、秒电路。 (2)设计可预置时间的校时电路。 (3)设计整点报时电路。 3.设计过程 3.1.总体设计方案及其工作原理为: 数字钟原理框图入图1所示,电路通常包含一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号务必做到准确稳固。通常使用石英晶体振荡器电路构成数字钟,但也能够用555定时器构成。

图1 系统框图 数字钟计时的标准信号应该是频率相当稳固的1HZ秒脉冲,因此要设置标准时间源。数字钟计时周期是24小时,因此务必设置24计数器,秒、分、时由数码管显示。 为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中使用开关操纵校时电路“时”“分”“秒”计数器进行校时操作。 3.2.各独立功能部件的设计 (1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制) 如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。由于秒与分的显示都为60进制,因此他们可有两级十进制计数器构成,其中秒与分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。74160与74161具有相同的逻辑符号,引脚图与功能表,各引脚图的功能与用法也相同。所不一致的是74160是十进制,而74161是十六进制。因此能够用6片74160构成秒计时器、分计时器、时计时器、星期计时器。

多功能数字钟的设计与制作

电子技术课程设计报告书 课题名称 多功能数字钟电路的设计与制作 姓 名 *************** 学 号 **************** 院、系、部 **************** 专 业 **************** 指导教师 ***************** 2011年 **月**日 ※※※※※※※※※ ※※ ※ ※ ※ ※ ※※ ※※※※※ ※※ ****级学生电子技术 课程设计

多功能数字钟电路的设计与制作 1 设计目的 (1)掌握数字钟的设计、组装和调试方法。 (2)掌握集成电路的使用方法。 2 设计思路 (1)设计脉冲发生电路。 (2)设计时钟逻辑电路。 (3)设计时、分校准电路。 3 设计过程 3.1总体框图 数字钟电路总体方框图如图1所示。 系统总体框图 图1 1.晶体振荡器电路:晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 2.分频器电路:分频器电路将32768HZ的高频方波信号经32768次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 3.时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,根据设计要求,时个位和时十位计数器为24进制计数器。 4.译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 5.整点报时电路:在时间出现整点前数秒内,数字钟会自动报时,以示提醒.其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。

多功能数字钟的设计与制作

一、多功能数字钟的设计与制作 (一)相关知识:多位数(DlGIT)的驱动方式 1.如采用直接驱动法驱动4个七段显示器,共需要4×8=32条的I/O线:而采用解码 器驱动也要4X4=16条的I/O线,形成了I/O端口的浪费。 2.扫描显示法所需的I/O数为8+n条(n个显示器),可节省硬件电路。 3.扫描显示法要注意两点: (1)点亮时要让LED得到最大的顺向电流,通常一个LED需要10mA。在做四位数 的扫描时,每一个LED的平均电流值只有1/4的最高电流值,因此扫描时要得到适当的亮度最好有30mA以上的瞬间电流,即将LED的限流电阻降低到20~100Ω。 (2)在切至下一个显示器时,应把上一个先关闭一段时问(约50μs),再将下一个显 示器扫描信号送出,以避免上一个的显示数据显示到下一个显示,即避免鬼影(TBLANK) 的产生.下图为显示器切换时间差示意图。 显示器切换时间差示意图。 4.扫描频率必须高于视觉暂留频率16Hz以卜(即62毫秒以上 (二)功能说明 1.开机时,显示12:00:00的时间开始计时。 2.P0.0控制“秒”的调整.每按一次加1秒, P0.1控制“分”的调整.每按一次加1分 P0.2控制“时”的调整,每按一次加1个小时; (三)硬件:如图所示 时钟电路图 (四)程序:shiz.ASM ORG 00H ;主程序起始地址 AJMP START ;跳至主程序

ORG 0BH ;TIMER0中断起始地址 AJMP TIM0 ;跳至TIMER0中断子程序TIM0 START:MOV SP,#70H ;设置堆栈在70H MOV 28H,#00 ;显示寄存器初值为0 0 MOV 2AH,#12H ;“时”寄存器l忉值为12H MOV 2BH,#00 ;“分”寄存器初值为0 0H MOV 2CH,#00 :“秒”寄存器初值为00H MOV TMOD,#00000001B :设TIMER0为MODEl MOV TH0,#HIGH(65536—4000) ;计时中断为4000微秒 MOV TL0,#LOW(6553 6—4000) MOV IE,#10000010B ;TIMER0中断使能 MOV R4,#250 ;中断250次 SETB TR0 j启动TIMER0 LOOP:JB P0.0,N2 ;PO.O (秒)按了?不是则跳至N2检查PO.1 ACALL DELAY ;消除抖动 MOV A,2CH ;将秒寄存器的值载入A ADD A,#01 ;A的内容加1 DA A ;做十进位调整 MOV 2CH,A ;将A的值存入秒寄存器 CJNE A,#60H,N1 ;是否等于60秒?不是则跳至N1 MOV 2CH,#00 ;是则清除秒寄存器的值为00 N1:JNB P0.0,$ ;P0.0(秒)放开了? ACALL DELAY ;消除抖动 N2:JB P0.1,N4 ;p0.1 (分)按下了吗?不是则跳至N4检查P0.2 ACALL DELAY ;消除抖动 MOV A,2BH ;将分寄存器的值载入A ADD A,#01 ;A的内容加1 DA A ;做十进位调整 MOV 2BH.A ;将A的值存入分寄存器 CJNE A,#60H,N3 ;是否等于60分?不是则跳至N1 MOV 2BH.#00 ;是则清除分寄存器的值为00 N3:JNB P0.1,$ ;p0.1 (秒)放开了? CALL DELAY ;消除抖动 N4:JB P0.2,LOOP ;P0.2 1秒)按下了吗?不足则跳至LOOP CALL DELAY ;消除抖动 MOV A,2AH ;将时寄存器的值载入A ADD A.#01 :A的内容加1 DA A ;做十进位调整 MOv 2AH,A ;将A的值存入时寄存器 CJNE A,#24H,N5 ;是否等于24时?不是则跳至N5 MOV 2AH,#00 ;是则清除时寄存器的值为00 N5:JNB P0.2,$ ;P0.2(秒)放开了? CALL DELAY :消除抖动 JMP LOOP

多功能数字钟的设计

数字钟设计 一、工作原理 设计一种简易数字钟,该数字钟具有基本功能,包括准确计时,以数字形式显示时、分,以二极管显示秒的时间和校时功能。实现以下功能: (1)时的计时要求为24进制,分和秒的计时要求为60进制。 (2)准确计时,以数字形式显示时,分时间,用两个二极管显秒的时间。 (3)校正时间。 二、电路分析 1. 设计原理及思路 数字钟实际上是一个对标准频率进行计数的计数电路。它的计时周期是24小时,由于计数器的起始时间不可能与标准时间一致所以采用校准功能和报时功能。 数字钟电路主要由译码显示器、校准电路、报时电路、时计数、分计数、秒计数器,振荡电路和单次脉冲产生电路组成。其中电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译码器及显示器、校准电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。时计数器采用24进制计时器,可实现对一天24小时的计时。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LED 七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一蜂鸣器产生声音。校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。 根据设计要求首先建立了一个简易数字钟电路系统的组成框图,框图如下图所示。

多功能数字钟设计

多功能数字钟设计 一、实验目的: 1、综合应用数字电路知识; 2、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 基本功能:准确计时,以数字形式显示时、分、秒的时间。 扩展功能:校正时间,定时控制,正点报时。 三、实验原理及电路设计: 1、设计方案与模块框图 该实验电路主要设计了一个24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时、分、秒进行单独校时,使其校正到准确时间。由基本频率源(振荡器)、计数器、译码显示驱动器、数字显示器、校准电路、清零电路等部分组成。 多谐振荡器产生稳定的“秒”计时信号(1Hz)。对“秒”计时信号进行60进制计数,形成“分”计时信号和秒计数值;再对“分”计时信号进行60进制计数,形成“时”计时信号和分计数值;进一步对“时”计时信号进行24进制计数得到时计数值。秒计数值、分计数值和时计数值译码显示时间。 应用555定时器输出1HZ脉冲,接入秒的计数器,分和秒是用两个模60的计数器,时用的是模24的计数器,计数器是用74LS161芯片利用清零法和置数法构成,译码器是用的

2、各子模块电路设计及原理说明 (1)、脉冲电路 555定时器是一种结构简单、使用方便灵活、用途广泛的多功能电路, 可产生各种脉 冲,这里用555定时器来实现产生1Hz 的时钟脉冲,秒脉冲电路设计如图所示。 上图为数字钟脉冲电路原理图由 121)2(7.01 1C R R T f += = 可知该脉冲电路输出频率为: 121)2(7.01 1C R R T f +== =6310 01.010)47247(7.01-????+?=1HZ 。 振荡器是数字钟的核心电路,振荡器的稳定度及频率的精确度决定了数字钟的准确度。 (2)、计数电路 时间计数器电路由秒、分、时的个位和十位计数构成,秒和分的计数器为60进制计数, 而时的计数器为24进制计数。 1)秒计数模块采用74ALS161构成的60进制计数器进行计数。秒的个位由161芯片构成模10计数,十位由161芯片构成模6计数,秒的个位的脉冲有555定时器产生,十位的脉冲由个位的清零信号产生,当秒钟个位计数到10时秒钟个位立即清零,同时产生一个秒钟十位的脉冲,秒钟十位到6时立即清零,同时清零信号作为分钟个位的脉冲,完成了0到59的计时。如下图:

多功能数字钟的设计及制作

目录 整点报时单元电路设计 (1)

摘要 数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意。 数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。 关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48 数字电子时钟的设计及制作 1数字钟的结构设计及方案选择 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。计数器的输出分别经译码器送显示器显示。由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,当计时出现误差时,可以用校时电路校时、校分。如图 1-1所示为数字钟电路系统的组成框图。 图1-1数字钟电路系统的组成框图 方案一:首先构成一个NE555定时器产生震荡周期为秒的标准秒脉冲,在加一个74ls74分频电路。由74LS390采用清零法分别组成六十进制的秒计数器、六十进制分计数器、十二进制时计数器。使用74ls74d的输出作为秒记数器的CP脉冲,把秒记数器地进位输出作为分记数器地CP脉冲,分记数器的进位输出作为时记数器的CP脉冲。使用74LS48为驱动器,共阴极数码管作为显示器,再以基本RS锁存器构成校时电路。 方案二:首先构成一个由石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由CD4518采用清零法分别组成六十进制的秒计数器、六十进制分计数器、十二进制时计数器。使用由石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,把秒计数器地进位输出作为分计数器的CP脉冲,分计数器的进位输出作为时计数器的CP脉冲。使用CD4511为驱动器,共阴极数码管作为显示器。 本次设计中我选用方案一进行设计。 振荡器的选择 方案一:采用石英晶体振荡器。石英晶体振荡器具有体积小、重量轻、可靠性高、频率稳定度高等优点。其具有极高的频率稳定性主要用在要求频率十分稳定的振荡电路中作谐振元件但成本相对较高。

多功能数字钟的设计及制作 论文

题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 题目: 多功能数字钟的设计及制作 初始条件: (1)准确计时,显示时分秒 (2)小时12翻1,分秒60进1 选做: 设计可校正时间的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月27日~6月30日:明确课题,收集资料,方案确定,仿真 7月1日~7月4日:硬件电路制作与调试 7月5日~7月8日;报告撰写,交设计报告,答辩 指导教师签名:年月日 系主任(或责任教师)签名:年月

摘要 (1) 多功能数字钟的设计及制作 (2) 1数字钟的设计内容及要求 (2) 1.1数字钟的设计内容 (2) 1.2数字钟的设计要求 (2) 2电路设计方案及其论证 (2) 2.1数字钟系统总电路图 (2) 2.2数字钟的原理框图 (2) 2.3数字钟的基本原理 (2) 3单元电路 (4) 3.1计时电路 (4) 3.2脉冲信号源——多谐振荡电路 (6) 3.3显示电路 (7) 3.4校时电路 (8) 4硬件电路的设计及其制作与调试 (9) 4.1仿真使用的系统 (9) 4.2制作与调试的方法和技巧 (10) 4.3测试的数据和理论计算的比较分析 (10) 4.4制作与调试中出现的故障、原因及排除方法 (10) 5本设计的方案优势及与被排除的方案的对比 (11) 6系统需要的各类软件和硬件 (12) 结束语 (13) 参考文献 (14) 附录 (15)

多功能数字钟的设计及制作

多功能数字钟的设计及制作 1.设计分析 本次设计的数字钟具有校时功能。我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1 图1-1 总体方框图

2.设计内容 2.1秒脉冲产生部分 本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。555定时器逻辑符号如图2-1所示: 图2-1 555定时器逻辑符号

管脚功能如表2-1所示: 图2-2 秒脉冲电路 根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时

间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。 充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC) t1=(R1+R2)C*㏑2=0.7(R1+R2)C 放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC) t2=R2*C㏑2=0.7R2*C 脉冲周期为: t=t1+t2=0.7(R1+2R2)C 脉冲频率为: f=1/t=1.43/(R1+2R2)C 令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的) 代入数据,计算得,f=0.94HZ≈1HZ 基本满足实验要求。 2.2计数部分 计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。74LS具有异步清零和异步置九的功能。当R0全是高电平,R9至少有一个为低电平时,实现异步清零。当R0至少有一个低电平,R9全是高电平时,实现异步置九。当R0,R9都有低电平时,实现计数功能。所以74LS90有两个清零端R0(1)、R0(2),两个置数端R9(1)、R9(2)。其逻辑符号如图2-3和功能表如表2-2如下:

课程设计(论文)基于lcd液晶显示的多功能数字钟的设计(附pcb图及电路原理图)

目录 1前言 (1) 2总体方案设计 (2) 2.1设计内容 (2) 2.2设计内容 (2) 2.3方案论证 (3) 2.4方案选择 (4) 3单元模块设计 (5) 3.1各单元模块功能介绍及电路设计 (5) 3.1.1 温度采集电路 (5) 3.1.2 DS1302时钟电路 (5) 3.1.3 串行通信接口电路 (6) 3.1.4 USB连接电路 (6) 3.1.5 按键电路 (7) 3.1.6液晶显示显示电路 (7) 3.2特殊器件介绍 (7) 3.2.1 STC89C52单片机芯片 (7) 3.2.2 DS1302介绍 (8) 3.2.3 温度传感器DS18B20 (9) 3.2.4 液晶显示LCD1602 (9) 4软件设计 (10) 4.1软件选择 (10) 4.2软件设计流程 (10) 4.2.1 温度采集流程 (11) 4.2.2 日期数据处理流程 (12) 5系统的仿真及调试 (13) 5.1系统仿真 (13) 5.2硬件调试 (13) 5.3软件调试 (14) 6结论 (16) 7总结与体会 (17) 7.1设计小结 (17) 7.2设计收获及改进 (17) 7.3致谢 (17) 8参考文献 (18) 附录: (19)

1前言 单片机是指一个集成在一块芯片上的完整计算机系统。尽管他的大部分功能集成在一块小芯片上,但是它具有一个完整计算机所需要的大部分部件:CPU、内存、内部和外部总线系统,目前大部分还会具有外存。同时集成诸如通讯接口、定时器,实时时钟等外围设备。而现在最强大的单片机系统甚至可以将声音、图像、网络、复杂的输入输出系统集成在一块芯片上。单片机也被称为微控制器(Microcontroller),它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。单片机诞生于20世纪70年代末,经历了SCM、MCU、SOC三大阶段。 STC单片机完全兼容51单片机,并有其独到之处,其抗干扰性强,加密性强,超低功耗,可以远程升级,内部有专用复位电路,价格也较便宜,由于这些特点使得 STC 系列单片机的应用日趋广泛。本文设计了一种基于STC公司的STC89C52单片机,使用Dallas 的一线制数字温度计DS18B20作为温度传感器,实时时钟芯片DS1302提供当前日期和时间数据,并将实时的日期和温度数据字符型液晶显示器LCD1602上显示,本次设计的多功能数字钟采用数字电路对日期和温度进行控制设置,我们采用LCD液晶显示,以24小时的计时方式,根据LCD显示原理进行显示,定时器计数。在本次设计中,电路具有显示日期、时间、温度的基本功能,还可以实现对它们的调整。本次设计要达到的目的是:具有时间显示和手动校对功能,24小时制;具有年、月、日显示和手动校对功能;具有显示当前星期的功能;具有闹铃功能;具有环境温度采集功能;掉电后无需重新设置时间和日期;系统不但接口设计简单、便于控制,而且具有很好的人机界面,可以对当前的时间进行调整。

多功能数字钟电路设计

多功能数字钟电路设计 一功能要求 1 基本功能: ⑴准确计时,以数字形式显示时、分、秒的时间; ⑵小时的计时要求为24进位,分和秒的计时要求为60进位; ⑶校正时间,时、分快校(1HZ)。 2 扩展功能: ⑴定时报,时间自定,闹1分钟(1KHZ); ⑵仿广播电台正点报时; ⑶报整点时数; 二主体电路设计 数字钟电路系统由主体电路和扩展电路两大部分组成。其中,主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。其组成框图如下: 显示器及译码器部分为板载,因此只需要设计计数器,校时电路和扩展电路。 1.小时计数器 时计数器是一个24进制计数器,其计数规律为00—01—…—22—23—00…即当数字钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒。 原理图如下:

使用了两片74LS161(4位二进制同步加法计数器)来实现小时计数,即模24的计数器。HOUR[0]~HOUR[3]为小时个位,HOUR[4]~HOUR[7]为小时十位。 2. 分秒计数器 分和秒计数器都是模60的计数器。其计数规律为00—01—…—58—59—00…其原理图如下: 秒计数器与上图相同,图略。 分别使用了两片74LS161来实现分和秒的计数,均为。其中MIN[0]~MIN[3]为分个位,MIN[4]~MIN[7]为分时位,SEC[0]~SEC[3]为秒个位,SEC[4]~SEC[7]为秒时位。 3. 校时电路 当数字钟接通电源或者计数出现误差时,需要校正时间(或称校时)。校时是数字中应具备的基本功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是,在小时校正时不影响分和秒的正常计数;再分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种,“快校时”是,通过开关控制,使计数器

多功能数字钟-电子设计

多功能数字钟-电子设计 设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟 和倒计时功能。 电子设计: 1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者 共阳共阴的数码管。 2.采用实时时钟芯片,能够准确读取时间和日期。 3.通过温度传感器读取室内温度,并在数码管上显示。 4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。 5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。 6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。 7.设计电源接口,可以使用电池或外部电源供电。 硬件设计: 1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。 2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和 日期。 3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。 4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用 户的操作。

5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。 软件设计: 1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。 2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相 应的LED显示。 3.编写按钮的中断服务程序,实现按钮的响应和功能切换。 4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。 5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时 间并发出提醒。 6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。 7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。 8.调试和测试系统的各项功能,确保稳定性和准确性。

多功能电子钟的设计与实现

多功能电子钟的设计与实现 随着现代社会的不断发展,人们生活节奏也越来越快。时间意识的强化和对时间的精确度要求也不断提高。电子钟作为时间显示的主要设备之一,在每个家庭和公共场合中都显得尤为重要。基于此,本文将介绍一种多功能电子钟的设计与实现。 一、电子钟的基础原理 电子钟的基础原理是利用稳定的时间基准源,将电路中的计数器运算得到时间参数,并将参数转化为物理显示。其核心部件为晶振和计时器,晶振生成一个稳定且频率精确的信号,计时器将信号经过运算得到时间参数,再通过驱动显示设备显示出来。 二、多功能电子钟的基础设计 多功能电子钟是在电子钟基础上增加其他实用功能的基础上改进而来的。这种电子钟能够显示时间、日期,同时还具有室温、湿度、闹钟、定时开关等多种功能,方便人们生活。它的基本设计包括电路设计和硬件设计两部分。 1.电路设计 电路设计是多功能电子钟的核心,其参数准确性和功能性是实现此类电子产品成功的基础。电路设计中必须考虑到信号

放大器、计时器、数字转换、电源管理等多个要素。同时,还需考虑CPU芯片的选型和相应的软件程序设计。 信号放大器:对从晶振的输出端口得到的信号进行放大,以便CPU芯片可对信号进行计时。 计时器:确定日期和时间的计时器是电子钟关键组件。电路中计数器运算并将其转化为数字显示。另外,为了达到高度精确的计时目标,计时器还需校准。 数字转换:要确保所有的操作都能通过数字方式显示和转化。这种电子产品的设计需要包括外围的数字转换器,以便数字能被转换为物理状态,如LED显示屏。 电源管理:电源必须可靠且低功耗。由于电子钟要长时间工作,因此保证电源的稳定供电无疑也是必要的。 2.硬件设计 硬件设计是指吸收电路设计输出,确定和选择相应的部件以及构建相应设备。硬件设计中同样需要考虑到耗电量、性能、实用性和可用性等因素。 显示屏:多彩LCD屏幕广泛应用于各种电子产品中。为了实现多功能电子钟的多种显示需要,需要选择合适的LCD显示器。 传感器:根据电子钟的功能,室温、湿度、气压和周日等传感器需要被加入。这些传感器将在后续的软件设计中起着重要作用。

多功能数字钟的设计及制作

目录 摘要 (1) 1数字钟的结构设计及方案选择 (1) 1.1振荡器的选择 (1) 1.2计数单元的构成及选择 (2) 1.3译码显示单元的构成选择 (2) 1.4校时单元电路设计及选择 (2) 2 数字钟单元电路的设计 (3) 2.1振荡器电路设计 (3) 2.2时间计数单元设计 (3) 错误!未定义书签。 2.2.2 用74LS390构成秒和分计数器电路 (4) 错误!未定义书签。 2.2.4 时间计数单元总电路 (4) 2.3译码显示单元电路设计 (4) 2.4 校时单元电路设计 (4) 2.5整点报时单元电路设计 (1) 3 数字钟的实现电路及其工作原理 (5) 4电路的搭建与调试 (5) 5结束语 (5) 参考文献..................................................... 错误!未定义书签。附录1: . (6)

摘要 数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意。 数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。 关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48 数字电子时钟的设计及制作 1数字钟的结构设计及方案选择 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。计数器的输出分别经译码器送显示器显示。由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,当计时出现误差时,可以用校时电路校时、校分。如图 1-1所示为数字钟电路系统的组成框图。 图1-1数字钟电路系统的组成框图 方案一:首先构成一个NE555定时器产生震荡周期为0.5秒的标准秒脉冲,在加一个74ls74分频电路。由74LS390采用清零法分别组成六十进制的秒计数器、六十进制分计数器、十二进制时计数器。使用74ls74d的输出作为秒记数器的CP脉冲,把秒记数器地进位输出作为分记数器地CP脉冲,分记数器的进位输出作为时记数器的CP脉冲。使用74LS48为驱动器,共阴极数码管作为显示器,再以基本RS锁存器构成校时电路。 方案二:首先构成一个由石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,由CD4518采用清零法分别组成六十进制的秒计数器、六十进制分计数器、十二进制时计数器。使用由石英晶体振荡器和由CD4060构成的分频器构成的产生震荡周期为一秒的标准秒脉冲,把秒计数器地进位输出作为分计数器的CP脉冲,分计数器的进位输出作为时计数器的CP脉冲。使用CD4511为驱动器,共阴极数码管作为显示器。 本次设计中我选用方案一进行设计。 1.1振荡器的选择 方案一:采用石英晶体振荡器。石英晶体振荡器具有体积小、重量轻、可靠性高、频率

多功能数字钟的设计与仿真

目录 1绪论 (1) 2Proteus软件介绍 (2) 3数字钟得原理框图.........................................................44电路得设计.....................................................................54、1主体电路得设计 (5) 4、1、1秒脉冲电路得设计 (5) 4、1、2计时及状态转换功能得实现............................................................64、1、3译码与显示电路得设计............................................................84、1、4校时电路得设计............................................................104、1、5主体电路图 (11) 4、2功能扩展电路得设计 (12) 4、2、1定时控制电路得设计............................................................124、2、2整点报时电路得设计 (15) 4、3整体电路得设计.........................................................175整体电路得仿真............................................................196电路功能测试以及常见问题解决本法 (2) 0 6、1电路功能测试 (20) 6、2常见问题解决办法..............................................................................20 7元件清单..................................................................21 8心得体会 (22) 9参考文献 (23)

多功能数字钟的设计与实现

多功能数字钟的设计与实现 一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1)24小时制时间显示. 2)可以随时进行时间校对。 3)整点报时。 4)闹钟功能,要求设置起闹时间时,不影响时钟的正常走时. 2.提高要求 1)校时时相应位闪烁。 2)能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等. 4)报警声响用蜂鸣器产生,将蜂鸣器接到8255的一个端口,通过输出电平的高低来控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、单

脉冲产生单元、8253定时计数器、8255并行接口单元、8259中断控制器、LED 显示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图1所示. 图1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台上 的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电路的设计及实现相对简单。完整系统的硬件连接如图2所示。硬件电路由定时模块、按键模块、数码管显示模块和蜂鸣器模块组成。 各个模块的详细说明: 1.定时模块 定时模块主要是实现硬件定时1s ,由8253的计数器 0来实现。Clk0接实验平台分频电路输出Q6,f =46875hz.GATE0接8255的PA0,由8255的PA0输出来控制计数器的起停。OUT0接8259的IRQ2,定时完成请求中断,进入中断服务程序。软件在中断服务程序中实 现时分秒的累加。 2.LED 数码管模块 实验平台上提供一组六个LED 数码管。插孔CS1用于数码管段选的输出选通,插孔CS2用于数码管位选信号的输出选通.本设计用6个数码管来动态显示时分秒,动态显示的定时时间由软件延时. 3.按键模块 按键模块包括有2个单脉冲发生单元的按键,3个键盘模块的按键。2个单脉冲发生单元的按键K1,K2分别为校时键和闹钟定时键,采用中断方式.3个键盘按键A 键,B 键和C 键分别为加1键,减1键和切换键。具体的功能定义如下表。键盘电路和8255的连接见图3 所示。 图3 键盘电路和8255的连接图 微机 系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发生单元 键盘电路 PA0 8255 PB0 PC Q6 OUT0 8253 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 IRQ2 8259 IRQ3 IRQ5 PC BUS 图2 系统硬件电路图 蜂鸣器 单脉冲发 生单元

多功能数字钟电路的设计与制作

多功能数字钟电路的设 计与制作 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz 的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。 2.总体结构框图如下: 图14 总体框图 单元电路设计与参数计算 3.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 31,则输出为高电平,三极管不导通,电容C 充电,此时2、6端电位上升。当上升至大于Vcc 3 2时,输出为低电平,三极管导通,电容C 放电,此时2、6端电位下降,下降至Vcc 3 1时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈得,此时频率为。 1 1 C 1 R C 2 R O

多功能数字钟——校时闹钟

电子电路综合实验报告 ——多功能数字钟设计

目录 设计理念 (3) 设计要求 (3) 设计目的 (4) 设计要求 (4) 总体设计概要 (4) 振荡器电路 (5) 输出波形仿真图如图所示 (6) 分频器电路 (6) 时间计时单元的设计 (7) 译码与显示电路的设计 (8) 校时电路的设计 (9) 方案一: (10) 方案二: (11) 定时控制电路的设计 (11) .电子系统中常见实际问题的分析 (12) 面包板和芯片的测试 (13) 时间计数电路的连接与测试 (13) 校正电路 (13) 主要芯片介绍 (13) 主体电路的装调 (14) 时钟结果仿真 (16) 面包板的使用及注意事项 (16) 实验用到的器件 (16)

设计理念 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 本次设计以数字电子为主,分别对1S时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有整点报时和走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器、译码集成电路、LED数码管及各种门电路和基本的触发器等,电路使用5号电池共电,很适合在日常生活中使用。 设计要求 本课题是设计一个多功能数字钟,以数字形式显示时,分,秒的时间,要求有手动校时分秒的基本功能,以及定时控制,仿广播电台正点报时,自动整点报时或触摸报整点时数的扩展功能。

项目制作-多功能数字钟的设计与调试

项目制作-多功能数字钟的设计与调试 一、项目制作目的 1. 了解并掌握多功能数字钟的设计、制作方法 2. 掌握用仿真软件对多功能数字钟电路的仿真调试方法 二、项目要求 1. 设计电路应能完全满足项目题目的要求。 2. 绘出多功能数字钟电路的逻辑图 3. 完成多功能数字钟电路的仿真调试 3. 完成多功能数字钟电路的模拟接线安装 三、项目步骤 (一)电路设计分析 1.方案论证 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。同时标准的1Hz时间信号必须做到准确稳定,通常使用石英晶体振荡器电路构成。一个用来计“时”、“分”、“秒”的数字钟,主要由六个部分组成。 (1)振荡器 主要用来产生频率稳定的时间标准信号,以保证数字钟的走时准确及稳定。要产生稳定的时标信号,一般采用石英晶体振荡器。现在使用的指针式电子钟或数字显示的电子钟都是使用石英晶体振荡器电路。从数字钟精度考虑,晶体振荡器频率越高,计时的精度就愈高,但这样会使分频器的级数增加。所以在确定频率时应当考虑这两方面的因素,然后再选定石英晶体的具体型号。 (2)分频器 振荡器产生的时标信号通常频率很高,为了得到1Hz的秒信号,需要对振荡器的输出信号进行分频。分频器的级数和每级的分频次数要根据时标频率来定。例如,目前石英电子钟多采用32768Hz的时标信号,将此信号经过十五级二分频即可得到周期为1s的“秒”信号,电路原理如图4-79所示。也可以选用其他频率的时基信号,确定分频次数后再选择合适的集成电路。

图4-79 秒信号产生电路 (3)计数器 “秒”、“分”、“时”分别为六十、六十、二十四进制的计数器。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样符合人们通常计数的习惯。“时”计数也用两块十进制集成块,只是做成二十四进制,上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本例计数全部采用十进制集成块,因而计数器的译码显示均采用BCD—七段译码器,显示器采用共阴或共阳极的显示器。 (5)校时电路 在刚开机接通电源时,由于“时”、“分”为任意值,所以需进行调整。“校时”电路的基本原理是将“秒”信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断“秒”信号。“校分”电路也按此方法进行。 (6)整点报时电路 数字钟一般都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。 2.方案实现 (1)时钟振荡电路 时钟电路设计有多种设计方法,比如555多谐振荡器、模拟运放振荡器、石英晶体振荡器等,其中555多谐振荡器调节方便,而石英晶体振荡器准确性最高。555多谐振荡器本书前面内容已有介绍,下面介绍石英晶体振荡器的基本工作原理。 石英晶体是构成振荡器的核心,它保证了时钟的走时准确及稳定。振荡器的稳定度和频率的精准度决定了计时器的准确度。 如图4-80所示为电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电

相关文档
最新文档