三位数字显示计时定时器课程设计

三位数字显示计时定时器课程设计

一、引言

计时定时器是在日常生活中常见的一种设备,广泛应用于各个领域。本文将介绍一种基于三位数字显示的计时定时器的课程设计。该设计使用数字显示器来实时显示时间,并具备计时和定时功能。通过该设计,可以提高学生的实践能力和创新思维,培养学生的团队协作能力和问题解决能力。

二、设计目标

本次课程设计的目标是设计一个能够实时显示时间的计时定时器,要求具备以下功能:

1. 以三位数字显示小时、分钟和秒钟;

2. 具备计时功能,能够实时显示经过的时间;

3. 具备定时功能,能够按照预设的时间进行倒计时,并在时间到达时提醒。

三、设计原理

1. 硬件设计:

本设计使用数字显示器来显示时间,通过七段数码管来显示数字。使用微处理器作为控制核心,通过编程控制数码管的亮灭和数字的显示。同时,使用按键来实现时间的调整和功能的切换。

2. 软件设计:

软件设计主要包括以下几个方面:

(1)初始化设置:设置数码管和按键的引脚,并进行初始化配置。(2)实时显示时间:通过编程实现时间的获取和显示,包括小时、分钟和秒钟的显示。

(3)计时功能:通过编程实现计时功能,包括开始计时、停止计时和重置计时。

(4)定时功能:通过编程实现定时功能,包括设置定时时间、开始倒计时和时间到达提醒。

四、实验步骤

1. 硬件连接:按照电路图进行硬件连接,包括数码管、按键和微处理器等。

2. 软件编程:根据设计原理进行软件编程,包括初始化设置、实时显示时间、计时功能和定时功能等。

3. 调试测试:将程序烧录到微处理器中,进行调试测试,确保功能的正常实现。

4. 优化改进:根据测试结果进行优化改进,提高系统的稳定性和可靠性。

五、实验结果

经过实验,本设计成功实现了以三位数字显示的计时定时器。通过按键可以调整时间,并能够实时显示经过的时间。定时功能可以按照预设的时间进行倒计时,并在时间到达时提醒。

六、实验总结

本次课程设计通过设计一个以三位数字显示的计时定时器,旨在培养学生的实践能力和创新思维。通过硬件连接和软件编程,学生们深入理解了计时定时器的工作原理,并通过实验获得了实际操作的经验。在实验过程中,学生们充分发挥了团队协作能力和问题解决能力,共同克服了各种困难和挑战。通过实验结果的验证,学生们对计时定时器的设计和实现有了更深入的了解。

七、展望未来

计时定时器作为一种常见的设备,在各个领域都有广泛的应用。未来,可以进一步改进和扩展本设计,使其具备更多的功能和应用场景。例如,可以增加温度传感器,实现温度监测和报警功能;可以增加无线通信模块,实现远程控制和数据传输等。通过不断的改进和创新,计时定时器将更好地满足人们的需求,为社会发展做出更大的贡献。

数字显示定时器

数字电子技术课程设计 设计题目: 数 字 显 示 定 时 器 学院: 专业: 姓名: 班级: 学号: 指导老师:

目录 一、设计目的………………………………………2 二、设计内容 (2) 三、数字显示定时器的组成和基本工作原理……2 四、设计步骤与方法………………………………5 五、调试方法………………………………………9 六、问题分析………………………………………9 七、选用元器件 (10) 八、参考文献 (10) 九、心得体会 (10)

数字显示定时器 一、设计目的 1设计题目:数字显示定时器 2设计要求: ①分析数字显示定时器的工作原理,明确其中每个组件及元件的作用。 ②通过查阅有关资料,了解组件的逻辑功能、使用条件及引脚图,并将图中74LS90组件的连接图标注引脚号,将各与非门编号并标注引脚号以便连线和排除故障。 3 目的要求 ①结合运用所学知识,进一步提高逻辑电路的识图能力。 ②通过实验进一步了解并掌握完成数字电路系统实验的方法,培养调试技能和解决实际问题的能力。 ③进一步了解中规模集成组件的性能与应用。 二、设计内容 ①搭接秒信号发生器,用示波器B点波形的幅度及周期。 ②搭接并调试计数译码显示单元。 ③搭接控制单元,启动脉冲形成单元,由实验台的单脉冲代替。思考应该用正脉冲还是负脉冲? ④搭接蜂鸣器及发光管报警电路,并调试其功能。 ⑤搭接完整电路(连A,B,C,D,E各点)测试系统功能(注意:先测试组件功能,再连接单元电路;先调试好单元电路功能,再连接整体电路)。 三、数字显示定时器的组成和基本工作原理 数字显示定时器是一个在能实现定好的时间时发出信号的同时,显示出计时的具体情况的一种计时器。计时器在平时的应用是很广泛的。我现在设计的就是数现定时器的一种,其基本组成的整体框图如图所示。 它的工作原理是:按微动开关,计时开始,两位十进制显示所计时间,到达给定时间(60s)时计时停止,蜂鸣器及发光二极管发出报警信号。 1.秒信号发生器 在精度要求不高的情况下,可由555定时器组成的多谐震荡器提供频率为

三位数字显示计时系统

一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键时,开 始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路;

目录 一. 设计总体思路 (1) 二. 单元电路设计 (2) 三. 总电路设计 (3) 四. 故障分析与改进 (4) 五. 总结与设计调试体会 (5) 六. 附录 (6)

一. 设计总体思路 设计说明: 三位数字显示计数系统电路一般由时钟信号输入装置(发生秒脉冲)、计数器、数字显示装置、和控制电路几大部分组成。秒信号和控制信号送入计数器使其进行计数及其他状态切换,把计数的结果在显示译码器中以秒的十进制数字显示出来。控制电路完成计数器的直接清零、启动计数、暂停/连续计数等状态切换,本电路的秒脉冲可采用555集成电路产生脉冲信号。 此计时系统是由555定时振荡器接成的多谐振荡器产生稳定的高频脉冲信号作为时间基准,再经分频器输出标准秒脉冲.秒计数器计满60后向分计数器进位.计数器的输出经译码器送显示器.图(1)所示为电路流程图 图(1)

1.晶体振荡器电路给计时器提供一个频率稳定准确的32768Hz的方波信号,可保证计时器的走时准确及稳定。不管是指针式的计时器还是数字显示的计时器都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(2)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R 1 为非门提供偏置,使电路工作于放大区 域,即非门的功能近似于一个高增益的反相放大器。电容C 1、C 2 与晶体构成一 个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。 图(2) CMOS 晶体振荡器(仿真电路) 2. 时间计数电路一般采用10进制计数器如74LS160N来实现时间计数单元的计数功能。本次设计中选择74LS160N。 由其内部逻辑框图可知,其为双2-5-10 异步计数器,并每一计数器均有一个异 步清零端(高电平有效)。 3.译码驱动及显示单元电路.选择LED数码管作为显示单元电路。由译码器把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。 计数器实现了对时间的累计并以8421BCD码的形式输送到译码器芯片,再由译码器芯片把BCD码转变为十进制数码送到数码管中显示出来

三位数字显示的计时系统(课程设计)

湖南工程学院 课程设计 课程名称数字电子技术 课题名称三位数字显示的计时系统 专业电气工程及其自动化 班级 学号 姓名 指导教师 2013年12 月27 日

湖南工程学院 课程设计任务书 课程名称:数字电子技术 题目:三位数字显示的计时系统 专业班级:班 学生姓名:学号: 指导老师: 审批: 任务书下达日期2013 年12 月16 日星期一设计完成日期2013 年12 月27 日星期五

设计内容与设计要求 一、任务与要求: 设计并制作一个简易的三位数字显示计时系统,供运动员比赛计时用,要求如下: 1、精确到秒,最大计时为9分59秒; 2、开机时自动清零; 3、具有启停输入控制功能,按下启停输入控制键 时,开始计时,再次按下时,停止计时。 4、用7段数码管显示时间; 5、功能扩展(自选) 二、设计要求: 1、设计思路清晰,给出整体设计框图; 2、设计各单元电路,给出具体设计思路、电路器件; 3、总电路设计; 4、计算机仿真 5、安装调试电路; 6、写出设计报告;

主要设计条件 1.提供直流稳压电源、示波器; 2.提供 TTL集成电路芯片、电阻、电容及插接用面包板、 导线等。 说明书格式 1、课程设计封面; 2、课程设计任务书; 3、说明书目录; 4、设计总体思路,基本原理和框图; 5、单元电路设计(各单元电路图); 6、总电路设计(总电路图); 7、安装、调试步骤; 8、故障分析与电路改进; 9、总结与设计调试体会; 10、附录(元器件清单); 11、参考文献; 12、课程设计成绩评分表。

进度安排 第一周星期一上午安排任务、讲课。 星期一~星期五上午查资料、设计 第二周 1、计算机仿真 2、测试元器件 3、调试单元电路 4、调试总电路 5、调试验收 6、写课程设计报告书 星期五下午答辩 地点:实验楼四楼电子综合实验室 参考文献 《电子技术课程设计》历雅萍、易映萍编 《电子技术课程设计指导》彭介华、主编 高等教育出版社 《电子线路设计、实验、测试》谢自美主编华中理工出版社。

完整word版数电课设三位数字显示计时系统word文档良心出品

数字电路逻辑设计课程设计 08-01 专业班级:通信姓名: 学号: 三位数字显示计时系统 一.设计要求 设计一个3位数字显示的时间计数系统,以供运动员比赛用。要求精度到秒,最大计时9分59秒。可以用按钮开关控制计数器的启

动,停止及清零,开机时可以自动清零。 二.设计方案 数字显示计时系统是通过控制电路使加法计数器对连续脉冲进行 计数,而加法计数器通过译码器来显示它记忆的脉冲周期个数。1.连续脉冲发生: 可选用555定时器构成的多谐振荡器产生,也可选用石英晶体振荡器,通过计数器分频产生,获得精确的秒脉冲信号。 2.计数及译码显示: 加法计数器构成电子秒表的计数单元。分频器输出端取得周期为一秒的矩形脉冲送入计数器中。 三个计数器的输出端分别与三个数码显示译码器的相应输入端连接。可显示0:00——9:59计时。 三.设计原理 1.74LS160功能表; 输入输出 Q3 Q2 Q1 Q0 D3 D2 D1 D0 CP CTP CTT LD CR

74LS160,为模十加法计数器。使用三片:第一片清零端CR,置数端LD,CTT,CTP均置1,CP输入连续脉冲,实现模十计数,经过十个脉冲后,输出端CO=1。将第一片芯片的输出端CO接第二个芯片的CTT 和CTP,第二个芯片的置数端LD置1,CP输入连续脉冲,当第一个芯片循环一次时才开始计数。由于该芯片为异步清零,所以将输出QB,QC接入与非门,输出接入清零端CR, 当计数至5时,实现清零,完成模六计数。将第二片芯片的清零端的CR接高位片的脉冲输入端CP,高位位片清零端CR,置数端LD,CTT,CTP均置1,当第二片芯片实现一次清零即模六计数一次,才开始计数,实现模十计数。2.74L00为与非门芯片。 与非门功能表 A B Y 0 1 0 1 0 1 1 0 1 1 1

课设

目录 一、预备知识 (2) 1.1:数电模电知识: (2) 1.2:三数字显示计时定时器预备知识: (2) 二、课程设计题目:三位数字显示计时定时器 (2) 三、课程设计目的及基本要求 (2) 3.1:设计要求 (2) 3.1.1:三位数显式电子计时器技术指标 (3) 3.2:设计目的: (3) 四、设计内容提要及说明 (3) 4.1:电路的方框图 (3) 五、原理图及原理说明 (4) 5.1原理总图: (4) 5.2模块原理图分析: (4) 5.2.1脉冲信号源电路: (5) 5.2.2 译码电路: (6) 5.2.3显示电路: (7) 六、课程设计中涉及的实验仪器和工具 (8) 6.1:芯片,元件简介: (8) 6.1.1:555时钟脉冲发生器 (8) 6.1.2 CD40110——十进制加减计数器/锁存/七段 (10) 6.1.3 LED数码管 (12) 6.2:材料清单: (13) 6.3.装于调试: (13) 6.3.1时钟发生器的调试: (13) 6.3.2数码管的调试: (14) 6.3.3计数译码器的调试: (14) 6.3.4整体调试: (14) 七、课程设计心得体会 (15) 7.1 心得体会: (15) 7.2 致谢 (15) 八、参考文献 (16) 附录..................................................................... ............. (17)

一、预备知识 1.1:数电模电知识: 运用数电知识,利用NE555设计电路,我的课题是,在三位数显示计数定时器的设计中,根据NE555和CD40110结构和工作原理,进行计时电路设计,数码驱动设计,还有在设计中占主要地位的多谐振荡电路的设计。其中多谐振荡电路的设计结合了数电以及高频电子线路的综合应用。本课设论文分为以下几个部分:通过技术指标从555电路依次往后级电路设计,包括元件参数,器件的选择,和最终实物的制作和调试。课设中,运用cd40110计时驱动数码管,因为40110很容易受到脉冲信号的影响,所以在调试中要保证电路的稳定性。 1.2:三数字显示计时定时器预备知识: 三位数字显示计时定时器概述:三位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。 二、课程设计题目:三位数字显示计时定时器 一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。而本课题中设计的三位数字显示计时定时器则分别有3个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器。该数字系统具有计时功能。能随时控制计时器的启动和停止,保持计时显示结果。它的最大显示时间为9分59 秒,计时和定时时间都是精确到秒。 三、课程设计目的及基本要求 3.1:设计要求 a 由NE555构成的多谐振荡器 b利用CD40110具有译码驱动加减计数器等功能驱动八段显示译码输出 c 开关控制计时器的停止与运动

PIC单片机课程设计 - 三位倒计时器(含全部汇编程序清单)

课程设计报告 课程名称:单片机原理与接口技术课程设计设计题目:三位倒计时器 院系:机电工程学院 班级:2012级电气工程及其自动化专业姓名:XXX 学号:2012XXXX 指导教师:XXXX 设计时间:2014年X月X日 出勤实物报告总分

目录 前言 (1) 第一章设计方案 (1) 1.1 设计内容及要求 (1) 1.1.1 设计内容 (1) 1.1.2设计要求 (1) 1.1.3功能设计 (2) 1.2硬件设计 (2) 1.2.1 矩阵键盘电路设计 (2) 1.2.2数码管电路设计 (3) 1.2.3 LED及蜂鸣器电路设计 (4) 1.2.4单片机主电路设计 (4) 1.2.5上电电路的设计 (5) 1.2.6总电路图 (5) 1.3总体方案 (6) 第二章软件设计 (7) 2.1 倒计时部分 (7) 2.1.1 键盘扫描子程序 (8) 2.1.2 0到9按键功能子程序和键盘显示子程序 (9) 2.1.3 一位转多位十进制子程序 (12) 2.1.4 TMR0中断服务子程序 (13) 2.1.5 倒计时显示子程序 (15) 2.2 附加功能部分 (15) 2.2.1 暂停和继续 (16) 2.2.2 计数功能 (17) 2.2.3 复位和归零 (17) 2.3 结束提示部分 (18) 2.4 程序部分总结 (18)

第三章实物照片 (19) 3.1 实验板照片 (19) 3.2 脱机运行照片 (19) 第四章问题与体会 (21) 结论 (22) 参考文献 (23) 附录:程序清单 (24)

前言 此次课程设计的内容为三位数的倒计时器的设计。目前倒计时器的发展已经相当先进,我做这次设计的主要目的是想更进一步了解基本电路的设计流程,以提高自己的设计理念,使自己的动手动脑能力有更进一步提高。通过解决现实生活中的问题,巩固和加深单片机课程中所学的理论知识和实验能力,加深对单片机软硬件知识的理解,以获得初步的应用经验,为以后从事生产和科研工作打下一定的基础。本次设计注重对单片机工作原理以及键盘控制及显示原理的理解,能够提高自己的动手能力和设计能力,以培养自己的创新能力,做到理论和实践相结合。本次设计的重要意义还在于对单片机的内部结构和工作状态做更进一步的了解,同时还对单片机的接口技术,中断技术,存储方式和控制方式有了更深层次的了解。 另外,倒计时器在生活中应用广泛,因此它的功能灵活性和精度的要求就更加显得重要。通过此次练习,我为自己设下了很多要求,力求此倒计时器的功能完美性和精度精细性,以加深自己对电子产品设计的认知。 第一章设计方案 1.1 设计内容及要求 1.1.1 设计内容 本次设计的倒计时器需要由4*4矩阵键盘、3位数码管、LED小灯和蜂鸣器等有关输入输出器件组成,要求倒计时的初始值和控制按键均由键盘输入,数码管显示倒计时的时间,小灯和蜂鸣器负责终止提示。 因此,本次的设计内容包括硬件设计,流程框图及软件的编写与调试。 1.1.2设计要求 根据以上设计内容的介绍及我们的设计目的,总结出以下的设计要求: 1.能够实现255以内数的倒计时; 2.倒计时结束,报警提示;

数字电子钟课程设计

1前言: 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。与传统机械钟相比,它具有走时准确、显示直观无机械传动装置等优点。 数字钟已成为人们日常生活中必不可少的物品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。钟表的数字化给人们生产生活带来了极大的方便。它扩展了钟表原有的报时功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,这些都是以钟表数字化为基础的。因此,研究数字电子钟以及扩大其在生活中的应用,有着非常现实的意义。 尽管目前市场上已有现成的数字钟集成电路芯片,价格便宜,使用也非常方便。鉴于数字钟电路的基本组成包含了数字电路的主要组成部分,为了帮助同学们将已经学过的比较零散的数字电路的知识能够有机的、系统地联系起来用于实际,培养综合分析、设计电路的能力,进行数字钟的设计是必要的。 系统的工作原理:由振荡器产生稳定的分频脉冲信号作为数字钟的时间基准,然后经过分频器输出标准的秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按“24翻1”规律计数。计数器的输出分别由译码器译出后送显示器显示。当计时出现误差时,可以用校时电路校时时和分。在数字显示方面,本设计采用七段数码管显示,非常直观。 本设计是最基本的数字电子钟,实现了时间的显示与校时,并对定时闹钟,日期,星期等的功能实现打下了基础。在这个基础上,加上寄存器可以实现闹钟的定时功能,对于星期的显示只需对时进行计数就可以做到,至于日期要复杂一些,因为日期不是每个月的天数都一样的,还要考虑闰年的情况,故只做探讨。 第1页

三位数显倒计时定时器电路

三位数显倒计时定时器电路 定时范围广,本电路定时时间为0.1~99.9小时,经适当调整也可以是1~99.9分,或1~99.9秒。它与其它数显定时电路比较,所用集成块较少。 三位数显倒计时定时器电路如图所示。可逆计数器由三个CD40110组成。CD40110是一块集计数、译码、锁存及驱动为一体的集成电路,它可直接与LED共阴极数码管连接,以显示计数器所计的数值。四个非门(1~4)和六个二极管(D11~D16)组成控制门。只有当三个CD40110的“2”脚都为低电平,而“3”脚都为高电平时,非门4的输入端为低电平,输出端为高电平。也就是说,只有当三位数显为“000”时,非门4的输出端B才是高电平,其余状态B 点为低电平。CPU为加法输入端,当有脉冲输入时,计数器作加法计数;CPD为减法输入端,当有脉冲输入时,计数器作减法计数。 设定按钮每单击一次,则产生一个单脉冲,通过C2、D2连接到计数器的加法输入端,产生加“1”计数。设定较大数值时,可持续按设定按钮,使C3获得高电平,开启由与非门3、4组成的多谐振荡器,产生较快速度的连续脉冲,通过D3连接到计数器的加法输入端,产生较快速度的连续计数,直到接近设定值时,再用单个脉冲完成精确的定时时间设定。 CD4060由一振荡器和14级二进制串行计数器组成。时钟脉冲由外围元件R6、R7、RP1及C5的振荡电路提供,RP1用作调节振荡频率。时钟脉冲经CD4060内部的14级二分频后的信号周期即为最小定时时间单元。该时基信号通过D5连接到计数器的减法输入端,对被设定的定时数值进行减法计数。减法计数到“000”时,B点为高电平,通过二极管D6连接到CD4060的“11”脚,使时钟信号发生器停止振荡,保持计数器显示为“000”。 B点的高电平使继电器电路的8050导通,继电器J吸合,由继电器的触点控制用电器电路的导通或断开。同时B点还连接到由与非门1、2构成的单稳态触发器的输入端,该单稳态电路的输出常态为高电平,当B点从低电平转变成高电平时的上升沿触发该单稳态电路,从而输出一个低电平暂态波形。这个暂态低电平使蜂呜器电路的9015导通,蜂呜器发声以提示定时时间到。蜂呜器发声时间的长短由积分电路R15、C8的时间常数决定。 另外,二极管D8的作用是防止当计数器的复位按钮按下时蜂鸣器发声。二极管D9的作用是,当设定按钮按下时使CD4060串行计数器复位。这样,每当定时时间设定后CD4060串行计数器从零开始计数,倒计时开始。 该电路定时范围较广,改变R6、R7、RP1及C5的数值以及选取CD4060不同的输出端,本电路定时时间为0.1小时到99.9小时。它与其它数显定时电路比较,所用集成块较少。

三位数显示计时器定时器数电课程设计

文档大全 沈阳航空航天大学 课程设计三位数字显示计时器定时器设计 班级 学号 学生姓名 指导教师

文档大全 沈阳航空航天大学 课程设计任务书 课程名称电子线路课程设计 课程设计题目三位数字显示计时器定时器设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个三位数字显示计时器、定时器电路,技术指标如下: ①计时、定时能够任意启停,保持计时、定时结果; ②开机自动复位; ③最大显示时间为9分59秒; ④设置时间,定时报警; 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

文档大全 成绩评定表: 序号 评定项目 评分成绩 1 设计方案正确,具有可行性,创新性( 15分) 2 设计结果可信(例如:系统分析、仿真结果)(15分) 3 态度认真,遵守纪律(15分) 4 设计报告的规范化、参考文献充分(不少于5篇)(25分) 5 答辩(30分) 总分 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 2016年 12月 31日

文档大全 一、概述 此次课设题目为及时定时系统,计时器和定时器在人们日常生活中有着广泛的应用, 本次课程就是设计利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,能够满足基本的功能要求,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。旨在通过这次课程设计实现知识的活学活用,能够将知识运用到实践中去,数字电路分为组合电路和逻辑电路,而本次课设则是基于对逻辑同步或者异步知识的掌握,能够根据题目选用相应的芯片,设计相应的电路,是本次实验最大的目的。 二、方案论证 方案一:使用数字电路的原理设计本方案,方案一利用555定时器以及数字逻辑芯片和数码管实现数字电子计时器和定时器功能,电路要求由可控脉冲发生器、计数电路,显示数码管电路以及报警电路组成。使用555多谐振荡器产生脉冲信号,使用数字芯片进行计数,通过LED 进行报警最后使用显示译码器显示计数。所以一共有四部分组成。 图1 总体电路的原理框图 方案二: 方案二采用单片机编程进行设计实现计时器或者定时器的设计。

三位数字显示计时定时器课程设计

三位数字显示计时定时器课程设计 一、引言 计时定时器是一种常见的电子设备,它能够准确地显示时间并进行定时操作。本文将介绍一种以三位数字显示的计时定时器的课程设计方案,通过这个课程设计,学生将学习到数字显示、定时器控制等相关知识。 二、设计目标 本次课程设计的目标是设计一个以三位数字显示的计时定时器,能够实现精确的时间显示和定时操作。具体要求如下: 1. 使用三位数的数码管进行显示,能够显示小时、分钟和秒数; 2. 能够通过按钮进行时间的设置和调整; 3. 能够进行定时操作,到达设定时间后能够触发相应的提示或动作。 三、硬件设计 1. 数码管:使用三位数的数码管进行显示,每位数码管能够显示0-9的数字。 2. 按钮:使用按钮进行时间的设置和调整,包括设置小时、分钟和秒数。 3. 定时器:使用定时器芯片进行定时操作,能够精确计时,并能够触发相应的提示或动作。 四、软件设计

1. 数字显示:通过控制数码管的引脚,将需要显示的数字发送到数码管上进行显示。 2. 时间设置:通过按钮进行时间的设置和调整,包括设置小时、分钟和秒数。 3. 定时操作:使用定时器芯片进行定时操作,到达设定时间后触发相应的提示或动作。 五、实验步骤 1. 连接硬件:将数码管、按钮和定时器芯片连接到单片机开发板上。 2. 编写代码:使用合适的编程语言编写程序,实现数字显示、时间设置和定时操作的功能。 3. 调试程序:将程序下载到单片机开发板上,进行调试,确保各个功能正常运行。 4. 测试功能:通过设置不同的时间和定时操作,测试程序的功能是否符合设计要求。 5. 优化设计:根据测试结果对程序进行优化,提高其稳定性和可靠性。 六、实验效果 经过实验,我们成功实现了以三位数字显示的计时定时器。通过按钮可以设置时间,并且能够精确显示当前的时间。在设定的时间到达后,定时器能够触发相应的提示或动作,实现了定时操作的功能。

数显定时器课程设计报告

目录 1. 实验目的 (2) 2.实验任务和要求 (2) 3.设计方案的选择 ............................................................................. 错误!未定义书签。4.实验原理 (5) 5. 电路主要元器件介绍 (9) 6. 电路焊接及调试 (13) 7. 故障分析 ............................................................................................ 错误!未定义书签。 8 . 仪器仪表清单 .................................................................................... 错误!未定义书签。 9. 心得体会 ............................................................................................ 错误!未定义书签。 10. 致谢 ..................................................................................................... 错误!未定义书签。 11. 参考文献 ............................................................................................ 错误!未定义书签。

数字电子钟 课程设计

大连海洋大学 数字电子技术课程设计 题目:数字电子钟 姓名: 学号: 班级: 院系: 指导教师: 起止日期: 大连海洋大学课程设计报告纸

学院: 专业班级: 姓名: 学号: 目录 一摘要 (2) 二课程设计任务及要求 (2) 三秒脉冲信号发生器 (5) 四设计原理及其框图 (5) 五设计总结 (9) 六参考文献 (10) 数字电子钟课程设计 摘要

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。本课程设计要用通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)74LS00(与非门芯片)等连接成60和24进制的计数器,再通过七段数码管显示,构成了简单数字电子钟。 一课程设计任务及要求 一、设计目的 1、熟悉稳固所学的理论知识与实践技能。 2、培养学生查阅技术资料的能力,培养学生综合运用所学理论知识和实践知识独立完成课题的工作能力。 二、设计任务 1、设计一个有“时〞,“分〞,“秒〞〔23小时59分59秒〕显示且有校时功能的电子钟; 2、用中小规模集成电路组成电子钟。 三、设计要求 1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;〔对已有1kHz 频率时钟脉冲进行分频〕; 2.能显示时、分、秒,24小时制; 3. 设计晶体震荡电路来输入时钟脉冲; 4.用同步十进制集成计数器74LS160设计一个分秒钟计数器,即六十进制计数器;

数字钟兼钟控定时器设计

四川航天职业技术学院电子工程系课程设计 专业名称:电信 课题名称:数字钟兼钟控定时器设计 设计人员: 指导教师: 时20131月7 日年 间:

《数字钟兼钟控定时器课程设计》任务书 一、课题名称:数字钟兼钟控定时器 二、技术指标: 1、显示时间时包括AM、PM、小时、分钟及秒(12小时制)。 2、整点报时时,白天报时,报时时唱一首歌,夜间不报时。 24h内任意时间开启、任意时间关闭家用电器的电源。3、双定时在 59min内任意时间倒计时定时功能。4、 调关闭时间、调倒计时时间。、功能键可以调时、调分钟、调开启时间、5 三、要求: 1、能显示时间。 2、具有整点报时功能。 、具有双定时功能。3 、能做为闹钟使用。4 、具有倒计时功能。5 、应具有功能设置键。6 指导教师:

学生: 电子工程系—电信2013 年1月7日 第2页共27页 课程设计报告书评阅页 课题名称:数字钟兼钟控定时器 班级:电信 姓名: 2013 年1月7日 指导教师评语:

指导教师签名:考核成绩: 日年月 第3页共27页 摘要 随着社会的进步,信息化产业的发展,出现的高科技产品的技术含量也越来越来高,数字电子技术的掌握和发展是对新知识新技术接轨的一种直接途径;再加上定时器部分自动设置的结合可以说这也是一个现代化产品。虽然现在它的技术含量并不高,但我相信通过努力创新和不断的改进与改装,也将会成为一种实用性强、水平高的产品。可以知道《数字电子钟兼钟控定时器》这是以社会生活相接轨的课题,因此它会得到社会的认可和使用。数字钟是采用数字电路实现时、分、秒数字显示的计时装置。由于数字集成电路的发展和石英晶体振荡器的使用,使得数字钟的精度、稳定性远远超过了机械钟表,再者数字电子钟在我们的实际生活中经常见到,它的精度、稳定性远远超过了机械钟表,因此得到了广泛的使用。 关键字:数字钟、定时器、高精度、稳定性、LM8364

三位数字显示计时器

专业班级 学号 姓名 成绩 一、实验名称 3位数字显示计时系统 二、设计任务与要求 设计一个3位数字显示时间计数系统,以供运动员比赛用。要求精确到秒最大计时9分59秒。可以用按钮开关控制计时器的启动、停止及清零,开机时可以自动清零。 三、实验器件 1.74LS90 74LS08 2.数字电路试验箱 四、方案设计 数字显示计时系统是通过控制电路使用加法计数器对连续脉冲进行计数, 而加法计数器通过译码器来显示它所记忆的脉冲周期个数。 1.连续脉冲产生 连续脉冲可选用555定时器构成的多谐振荡器产生,用555定时器构成的多谐振荡器,定时元件是电阻和电容,普通器件误差较大易受温度变化的影响,对于对时间要求高的应用场合,其误差较大。连续脉冲也可选用石英晶体振荡器通过计数器分频产生,可获得精确的秒脉冲信号。 2.技术及译码显示 加法计数器构成电子秒表的计数单元,首先用一个分频器对多谐振荡器产生的脉冲信号进行分频,然后将输出端取得周期为1s 的矩形脉冲送入计数器中,计数器都接成8421码十进制形式,其输出端与译码显示单元的相应输入端连接,可显示0~59秒;0~9分计时。 3.控制部分 控制部分用来控制计时器的清零、计时、停止。采用三位环形计数器来实现,环形计数器的输出分别作为计数部分的清零信号、计时信号和停止信号。 ……………………………………装………………………………………订…………………………………………线………………………………………

五、实验原理 1.系统框图如下 2.系统工作原理 实现一个三维数字显示的秒表系统,需要振荡器(脉冲冲源)、秒计数电路 季译码显示电路等组成部分。秒计数电路满60向分计数电路进位(显示00~59s), 分计数电路满足10(显示0~9)后清零,等待重新计时。控制开关为两个;启动 (继续)/暂停计时开关和复位开关。其中: (1)显示器:采用三片LED显示器把各位的数值显示出来,是秒表最终的 输出,有分、秒和毫秒位。 (2)计数器:对时钟信号进行计数并进位,毫秒和秒之间10进制,秒和分 之间60进制。 (3)译码器:对脉冲计数进行译码输出到显示单元中; 3.元器件简介 74LS90计数器是一种中规模二一五进制计数器,管脚引线及其功能表如下。 输入输出 CP R01R02S91S92Q D Q C Q B Q A × 1 1 0 ×0 0 0 0 1 1 ×0 0 0 0 0 ×× 1 1 1 0 0 1 ↓×0 ×0 计数0 ×0 × 0 ××0 ×0 0 ×

3位数字显示计时定时器

3位数字显示计时定时器 1 3位数字显示计时定时器概述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。而本课题中设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路。 该数字系统具有计时功能。能随时控制计时器的启动和停止,保持计时显示结果。还具备开机自动复零功能。它的最大显示时间为9分59秒,计时和定时时间都是精确到秒。 2 3位数字显示计时定时器系统设计 2.1系统框图 由技术指标要求可知,该数字系统的功能主要是实现可控计时和定时报警。为此,可将系统分解为下列几个部分组成: (1)秒脉冲时间标准产生电路。由振荡器产生固定频率的矩形脉冲经分频器获得秒脉冲,提供计时和定时的时间标准(时标信号)。 (2)计数器、译码器和显示器。由于最大计时器容量为9分59秒,因此,需要3位计数器。最低位为秒个位,次低位为秒十位,最高位为分位。秒个位对输入的秒脉冲进行计数,其进位信号送至秒十位计数,最后送给分位计数,并通过译码器和显示器显示出所计的时间。 (3)开机自动清零电路。提供开机清零信号,使电路的初态为0态。 (4)计时启停控制电路。提供控制振荡信号能否进入分频器的控制信号。

图一计时定时器总体方框图 2.2单元电路原理分析 2.2.1 秒脉冲时标信号产生电路 选用由CMOS集成门组成的RC振荡电路,以产生固定频率的矩形脉冲信号,经分频器分频后输出为1Hz的秒脉冲时标信号。电路如图二所示。 振荡电路生成的脉冲对动态扫描显示电路影响不大,这里选用门电路构成的多谐振荡器输出的脉冲作用为节拍发生器的时钟。如图三所示是由4096构成的方波自激振荡器电路。R t是振荡电阻,C t是振荡电容,R s是补充电阻。 设非门的阈值电压是U TH。设在t=0,U il=0小于U TH,G1门关闭,U01为高电平V DD,G2门开通,U0跃为低电平。这时,U01的高电平经R t会对电容C t充电,随着充电过程的继续,A点电压按指数上升,同时U il也会随着指数上升。当U il大于阈值U TH时,G1门开通U01跃为低电平,U0跃为高电平V DD,C t开始放电,随后因为U01跃为高电平又开始反向放电,这时U il又以指数规律下降,当小于阈值电压U TH时,U01又变为高电平V DD。如此反复就可输出矩形震荡波。振荡频率为 f=1/2.2R t C t 所以图三的振荡电路输出的频率约为80.59Hz。

三位显示计数系统

数字电子技术课程设计 ——三位显示计数系统 目录 设计任务与要求 (2) 总体框图 (2) 选择器件 (4) 功能模块 (9)

总体设计电路图 (11) 总结 (12) 参考文献 (19) 三位显示计数系统 一、设计任务与要求 三位显示计数是一种用数字显示的计时装置。三位显示计数由以下几部分组成:555定时器组成的多谐振荡器;十进制的秒十位计数器、五进制的秒个位计数器和十进制的分计数器;秒十位、秒个位、分的数码显示部分;连续脉冲电路等。用中小规模集成电路设计一台能显示分、秒的三位显示计数系统,具体要求如下: 1.计数系统可以记时,且可以控制。

2.要求精度到秒,开机自动清零。 3.最大计时为9分59秒。 二、总体框图 1. 三位显示计数系统组成电路的总体框图如下图所示: 图1三位显示计数系统组成总体框图 2. 设计思路及模块功能 为实现总任务,首先要提供一个标准时间,即提供一个周期为一秒的方波信号。由于最大计时为9分59秒,因此需要三位计数电路,即秒个位、秒十位、 分个位。计数之后进行译码显示。另外,还需要启停控制电路和复位开关。 (1)秒脉冲发生器 秒脉冲发生器是计数系统的核心部分,它的精度和稳定度决定了计数系 统的质量,本实验可采用555定时器组成的多谐振荡器发出的脉冲经过分频获 得1HZ的秒脉冲,或者是在数字电子技术实验箱上直接采用1HZ的开关。 (2)计数译码显示

秒个位、秒分位、分别为10、6和10进制计数器。秒个位、分均为十进制,即显示0~9。秒个位为五进制计数器,显示为0~5。 。 图3:计数显示系统 (3)启停控制 启停输入控制的作用在于控制整个电路何时开始工作、何时停止工作,启动控制应该放在振荡器边。由于计时电路是供比赛用的,所以在裁判喊预备时按下按钮,一旦枪响,瞬间放开按钮开始计时,也就是说组成的控制电路应该是下降沿触发有效。电路图如下: 图4:启停控制系统 三、选择器件

数字钟课程设计(完整原理图)

课程设计报告 题目数字钟-数电课程设计 2011-2012 第一学期 班级 姓名 学号 指导教师 单位 年月日 前言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎

渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 目录 一、设计目的................................................................................................................................... - 2 - 二、设计要求 ................................................................................................................................ - 3 -

基于555定时器的数字电子钟的设计毕业设计(论文)

一、绪论 1.1课题说明 1.2方案设计目的 1.3技术指标 1.4方案设计及论证 二、核心部件简介 2.1 555时基电路 2.2 74LS90异步加法计数器 三、各部分电路组成部分及其设计原理 3.1数字电子钟的构成框图 3.2数字电子钟的模块及其工作原理 3.2.1晶体振荡器电路 3.2.2计数器电路 3.3秒、分、时译码显示模块 3.4校时电路 四、说明各部分功能的实现 4.1开始状态 4.2时、分、秒分别校时 4.3满60秒向分钟进位状态 满60分向小时进位状态 4.4 23:59:59向00:00:00进位状态 五、整体电路图 六、实验室调试 6.1元件清单 6.2调试过程 6.3调试结果 6.4调试心得体会 一、绪论

1.1 课题说明 由于现代社会模拟电子技术基础和数字电子技术基础的高速发展,因而由这技术制造出来的越来越先进,数字钟体积小,安装使用方便,不仅可以作为家用电子钟,而且可以广泛用于车站、体育场馆等公共场所。虽然数字钟的外形和功能不尽相同,但是用于制造数字钟的原理基本上都是一样的。所谓数字钟,是指利用电子电路构成的计时器。 本次课程设计要求设计一个数字钟,基本要求为数字钟的时间周期为24小时,数字钟显示时、分、秒,数字钟的时间基准一秒对应现实生活中的时钟的一秒。供扩展的方面涉及到整点报时、定时闹钟等。 1.2 方案设计目的 用中小规模集成电路设计一台能显示时、分、秒的数字电子钟,要求如下:1.由晶体振荡电路产生1HZ的标准脉冲信号。 2.秒、分为00——59 六十进制计数器。 3.时为00——23 二十四进制计数器 4.可手动校准。只要将开关置于校准位置,即可对分别对分、时进行手动脉冲输入校准或连续脉冲校准调整。 5.用Multisim画出整个系统电路图,进行仿真与调试; 6.实现整个数字电子钟电路各项任务的正常工作。 7. 撰写设计报告:写出设计过程,和调试结果,写上心得体会。 1.3 技术指标 1. 显示时、分、秒的是24小时制。 3. 具有校时功能:可以对小时和分单独校时,对分校时的时候,停止分向小时进位。 6. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。1.4 方案设计及论证 1.4.1方案设计

三位数字显示计时定时器设计

课程设计报告 课程设计名称:三位数字显示计时定时器设计 专业班级: 0212112 ****:** 学号: ********* 同组人员: ****:*** 课程设计时间: 2周

目录 1 设计任务、要求以及文献综述 (1) 2 原理叙述和设计方案 (1) 2.1 设计方案选择和论证 (1) 2.2 电路的功能框图及其说明 (1) 2.3 功能块及单元电路的设计、计算与说明 (2) 2.4 总体电路原理图 (4) 3 电路的仿真与调试 (4) 3.1 电路仿真 (4) 3.2 调试中出现的问题及解决方法 (5) 4 制作与调试 (6) 4.1元件清单、实物照片 (6) 4.2制作与调试过程中遇到的问题及解决办法 (7) 5心得体会 (8) 6 参考文献 (8) 附录 (8)

三位数字显示计时定时器设计 1 设计任务、要求以及文献综述 3位数字显示计时定时器是一个典型的利用数字系统的例子。所谓数字系统,是指由若干数字电路及逻辑部件组成并且能够进行采集、加工、处理及传送数字信号的设备。一个完整的数字系统通常由输入电路、输出电路、控制电路、若干个子系统和时基电路等部分组成。设计要求如下: 1、计时功能。能任意启停,保持计时结果; 2、开机自动复位; 3、最大计时显示为9分59秒; 4、定时报警。 2 原理叙述和设计方案 2.1 设计方案选择和论证 方案一:设计的3位数字显示计时定时器则分别有4个子系统组成:秒脉冲时间标准产生电路、计数器、译码器和显示器、开机自动清零电路、计时启停控制电路 方案二:实现一个三位数字显示的秒表系统,单片机,lcd1602显示屏,矩阵键盘等组成部分。秒计数电路满60向分计数电路进位(显示00~59s),分计数电路满足10(显示0~9)后停止并且灯亮,等待重新复位计时。 论证:方案二比方案一好。 理由一:方案二显示的最终结果比较直观。 理由二:方案二可更改性好,方便日后的改进。 2.2 电路的功能框图及其说明 根据原理正确、易于实现、且实验室有条件实现的原则确定设计方案,画出总体设计功能框图,如图2.1所示。

相关主题
相关文档
最新文档