9-1数字电路的特点及分析方法9-2晶体管的开关特性

新课

端,VD导通,它呈现的正向压降很小,相当于开关的接通状态。

端,VD截止,它呈现的反向电阻很大,相当于开关的断开状态。当二极管的正向电阻和反相电阻有很大差别时,二极管即可作为开关使用。

二极管开关的应用

限幅电路又称削波电路。削波就是指将输入波形中不需要的部分去掉。

)串联型上限幅电路

电路及限幅波形如图所示。

② 工作过程

1v ≥G V →VD 截止→I O v v = 1v < G V →VD 导通→G O V v =

它是限幅电平为G V 的下限幅度电路,又因二极管与负载电阻并联,所以电路全称为“限幅电平为G V 的并联型下限幅电路”。

(3)结论

串联型限幅电路是利用二极管截止起限幅作用;而并联限幅电路是利用二极管导通起限幅作用。

2.钳位电路 (1)电路组成

把输入信号的底部或顶部钳制在规定电平上的电路称为钳位电路。 顶部电位在零电平的钳位电路如图所示。

(1)三极管的饱和条件

条件:基极电流足够大,即BS B I I >>。 BS I 为临界饱和基极电流。 也可表示为:

B I ≥c

CC

BS R V I β=

(2)特点

三极管处于饱和导通状态相当于开关的接通状态。 2.截止条件及其特点 (1)三极管的截止条件为

输入为低电位时,即V 0I =v 时,三极管V 截止,输出为高电位,

输入为高电位时,即V 3I =v 时,三极管V 饱和导通,输出为低电位,

1R 的两端并联一个适量的电容器S C ,就可达到提高开关速度的

V

新课 当决定一件事情的几个条件完全具备之后,这件事情才能发生,否则不发生。能实现与逻辑功能的电路称为与门电路。 两输入端均为高电平时,二极管1VD 、2VD 导通,两输入端均为低电平,或有一个输入端为低电平时,与低电平相连接的二0 V )。

出1 出0

Y = A ·B

当决定一件事情的几个条件中,只要有一个条件得到满足,这件事情就会发生。

两输入端均为低电平时,二极管1VD 、2VD 截止,两输入端有一个输入端为高电平,或全为高电平时,与高电平相连接的二就高电平(3 V )。

1出1 0出0

事情(输出信号)和条件(输入信号)总是呈相反状态。

输出信号与输入信号存在“反相”关系。即输入低电平,输出为高电平;输入高电

)逻辑功能:有0出1

有1出0

)逻辑函数数式:

输入输出A Y

0 1 1 0

将一个与门和一个非门联结起来,就构成了一个与非门。

Y B

A⋅

A B A B Y =B

A⋅

0 0 1 1 0

1

1

1

1

1

1

.逻辑功能:全1出0

有0出1

在或门后面接一个非门,就构成或非门。

Y B

A+

A B A +B Y =B

A+

0 0 1 1 0

1

1

1

1

1

1

Y CD

AB+

.真值表:如图表所示。

B C D

0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

1

.逻辑功能:一组全1出0;

各组有0出1。

.逻辑函数数式:

Y

B A B A +或B A Y ⊕=

.真值表:如图表所示。

输 入

输 出 A B Y 0 0 1 1

0 1 0 1

0 1 1 0

.逻辑功能:同出0,异出1 .逻辑函数数式:

Y

B A AB +或=Y A ⊙B

.真值表:如图表所示。

输 入

输A B Y 0 0 1 1

0 1 0 1

1 0 0 1

.逻辑功能:同出1 异出0

新课新课

原理:接通电源,A 点电压约5 V 左右,绿色1LED 保持常亮。在电源正常时,调节电位器,使B 点电位刚好处于与非门的门槛电压,此时黄色2LED 和红色3LED 均不亮。当电源电压偏低时,B 点电位低于门槛电压,则门1输入为低电平,输出为高电平,故E 点为高电平,F 点为低电平,黄色2LED 导通而发光,而红色3LED 截止,不发光。当电源电压偏高时,B 点电位上升,E 点电位下降,F 点电位上升,因而红色3LED 通而发光,黄色2

LED 因截止而不发光。

时,1V 截止;DD GS2V V -=,2V 时,1V 的TN GS1V V >,1V 饱和导通,极间相当于开路,1S 与1D 相当于短路。所以当输入低电平时,输出为高电平;当输入为高电平时,输出为低电平,实现了逻辑反相器不论是输出高电平还是低电平,都只有一个管子导通,因此电源电流均是极小的漏电流,功耗极低。 由于管子导通时电阻都很小,这就大大缩短了负载端杂散电容的充放电时间,反相器的电压传输特性比较理想,特性曲线的转折区比反相器输出高电平DD OH V V ≈,输出低电平OL V

端有高电平1时,驱动管1V 或2V 导通,输出端Y

.工作原理

)当控制端C 加高电平,若输入信号I v 在0 ~DD V 之间变化,则1V 和有一个管子导通,传输门的输入和输出之间程低阻状态,传输门导通,相当于开关接通。)当控制端C 加低电平,只要I v 在0 ~DD V 之间变化,则1V 、2V 两个管子均截止,即传输门截止,相当于开关断开。

.功能

传输门是一种传输信号的可控开关电路,由于MOS 管结构对称,其源极与漏极可对调使用,因此,传输门具有双向性,也称双向开关。

CMOS 电路的应用

.产品系列和外形封装

CMOS 集成电路的产品系列可参考表。

子系列 名称 国标符号 CMOS

互补场效晶体管型 CC4000 HCMOS 高速CMOS

CT54/74HC HCMOST

与TTL 兼容的高速CMOS

CT54/74HCT

CMOS 电路使用注意事项 )输入端不能悬空。

完整版电子技术基础课程标准

电子技术基础课程标准 [ 课程名称] 《电子技术基础》 [ 适用专业] 中等职业学校电工电子专业 [ 课程性质] 本课程是中等职业学校电工电子专业应用性很强的的一门基础必修课程,贯彻以培养学生实践技能为重点,基础理论与实际应用相结合的指导思想。主要内容分为两类:第一类为模拟电子技术。第二类为数字电子技术。在教学中要根据中职学生的知识基础及就业岗位需求组织教学内容,注重理论与实践相结合,从而提高学生分析问题及解决问题的能力,增强学生适应职业变化的能力,为继续学习打下基础。 [ 课程目标] 1、知识目标与技能目标 通过本课程的学习,使学生掌握电子技术各种基本功能电路的组成、基本工作原理、性能特点,熟悉电子技术工艺技能和电子仪器的正确使用方法,初步具有查阅电子元器件手册,正确使用元器件的能力、读识常见电子线路图的能力、测试常用电路功能及排除故障的能力。能复述逻辑门电路的功能,并能利用逻辑门电路设计简单的组合逻辑电路,并能分析简单时序逻辑电路的功能。为后续课程学习准备必要的知识,为今后从事实际

工作打下必要的基础。 2、过程与方法学会理论联系实际,使课内与课外实验,科技活动紧密结合,提高学生学习兴趣,增强掌握运用所学理论知识解决相关专业领域实际问题的能力。 充分利用实验设备,加大实验比重,使学生动手能力明显提高。培养学生查阅科技资料的能力。 3、情感态度与价值观参与科技活动的热情,勇于探究与日常生活有关 的电学问题;享受快乐的学习过程及学习成果,养成持之以恒的学习精神;形成主动与他人合作的精神,具有团队精神;关心国内外科技发展现状与趋势,有强烈的使命感与责任感。 [ 课程基本理念] 注重以人为本的教学理念,培养学生个性发展;以理论与实验相结合,充分体会有关电子基础知识的重要性;注重学科渗透,关注科技发展,有机结合时代的新产品;创造团结协作的氛围,提倡学习方式的多样化;从分析解决实际问题,提高学生应知能力;建立学习结果与学习过程并重的评价机制。 [ 课程内容和要求] 序 教学内容课程内容与要求考核要求 号 1 晶体二极1 、熟悉二极管器1. 半导体的概念;二极管的单

《数字逻辑》课程教学大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。 三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触

发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑 五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述

第1节双极型晶体管的开关特性及简单门

第1节双极型晶体管的开关特性及简单门 所有数字集成电路都是由晶体二极管、晶体三极管和场效应管组成的。它们大部分工作在导通和截止状态,相当于开关的“接通”和“断开”,因此被称为电子开关。电子开关较机械开关具有速度高、可靠程度高、无抖动、功耗低、体积小等诸多优点。本节将讨论双极型晶体管的开关特性。 、晶体二极管的开关特性 在数字电路中,晶体二极管(以下简称二极管)常工作于开关状态,在数字信号作用下,它时而导通,时而截止,相当于开关的“闭合”与“断开”。研究二极管的开关特性,就是要分析它在什幺条件下导通,什幺条件下截止,既要分析其静态开关特性,也要分析它在导通与截止两种状态之间的转换过程,即分析其动态开关特性。 1 •二极管静态开关特性 (1)二极管正向导通时的特点及导通条件 以硅二极管为例,当外加正向电压使二极管承受一定的正向偏置时,二极管正向导通,其电压、电流正方向如图2-2所示。 图2-3为二极管的伏一安特性曲线,它是二极管电流与两端电压的关系曲线 图2-2二极管开关电路图2-3 二极管伏一安特性曲线(未按比例画出) 当二极管外加正向电压二》时,二极管导通,此后,随着外加电压 增大,电流按指数规律变化。VON是二极管的门槛(阈值、开启)电压,硅管约 为0.5V,锗管约为0.1V。'一 > :时,特性趋于直线,VD基本不随电

流变化。VD称为二极管的导通压降,硅管约为0.7V,锗管约为0.3V。在数字电路的分析估算中,常将VD=0.7 V视为硅二极管的导通条件。 图2-4二极管正向导通时的等效电路图2-5二极管截止时的等 效电路 图2-4为二极管正向导通时的等效电路。当外加正向电压VIH(输入信号高电平)使硅二极管导通后,可近似认为: 保持0.7V不变。因此,在数字信号作用 下二极管正向导通时,它相当于一个具有0.7V压降的闭合的开关。 (2)二极管截止时的特点及截止条件 当外加电压较小或者承受反向偏置时,二极管截止。 由图2-3所示的二极管伏一安特性曲线可见,当丨V 匚V 时二极管截止,只有很小的反向漏电流流过二极管。硅管的反向漏电 流J- V1 “ -1,,锗管的V 20 」, < < 二时,二极管反向击穿。是二极管的反向击穿电压。 在数字电路的分析计算中,常将:V In 视为硅 二极管的截止条件。实际电路中,外加压常使:wo,以保证二极管可靠 截止。 图2 - 5为二极管截止时的等效电路,当外加数字信号为二(输入信号低电平,小于』)时,二极管截止。此时,可认为]为0,二极管如同断开的开关。 2. 二极管动态开管特性

逻辑门电路

逻辑门电路 1.1 晶体管的开关特性及应用 在数字电路中,晶体管大多工作在开关状态,所以是一种无触点的电子开关。通常的电子开关按其用途,可分为模拟开关和数字开关(又称逻辑开关)两大类。对它们的要求也有所不同:模拟开关应具备断开和接通时,流过的电流或两端的电压为零,两种状态转换的时间为零;而对数字开关则要求器件有两种可以区分的工作状态,同时输出能明确地用逻辑0或1来表示。 1.1.1 晶体二极管的开关特性及应用 1. 晶体二极管的开关特性 图1-1是硅二极管的符号和伏安特性曲线。 由伏安特性可知: (1) 二极管端电压小于0.5V作为二极管的截止条件。一旦截止,即可近似认为电流等于0,相当于开关断开,这就是二极管截止时的特点。 (2)二极管正向电压大于0.5V作为二极管的导通条件。一旦导通,即可将二极管认为是具有0.7V压降的闭合开关,这就是二极管导通时的特点。 2. 二极管开关特性的应用 利用二极管开关特性可以构成限幅器和钳位器。

(1) 二极管限幅器。 限幅器是一种波形变换或整形电路。当输入信号在一定范围内变化时,输出电压跟随输入电压相应变化,完成信号的传输;而当输入电压超过这一范围时,其超过的部分被削去,输出电压保持不变,实现限幅作用,由于限幅器能将一定范围以外的输入波形削去,所以限幅器又称削波器。 (2) 二极管钳位器。 二极管钳位器是利用二极管的开关特性,将输入波形的顶部或底部钳定在某一选定的电平上的电路。这种错位作用又称为波形钳位,在脉冲技术中经常用到。 1.1.2 晶体三级管的开关特性及应用 1. 晶体三极管的开关特性 如图1-6所示为NPN 型三极管的电路和特性曲线。图中直流负载线和三极管输出 特性曲线的交点称为静态工作点,用Q 表示。工作点的位置由基极电流 i B 决定。由于工 作点的位置不同,三极管有3种不同的工作状态,或称为3个工作区域。 (1)0,0≈≈i i C B 的区域称为截止区,如图中的Q1点。在截止区,三极管的集电极C 和发射极e 之间近似为开路,相当于开关断开一样,故有u u CC CE ≈。对于硅三极 管,由于发射极存在U0=0.5V 的死区电压,只有当u BE >U0=0.5V 时三极管才会真正导

数字电路逻辑设计(第二版) 王毓银╲t 电子科技大学

数字电路逻辑设计(第二版) 王毓银电子科技大学第1章绪论 1.1 数字信号 1.2 数制及其转换 1.3 二一十进制代码(BCD代码) 1.4 算术运算与逻辑运算 1.5 数字电路 1.6 VHDL 1.7 本课程的任务与性质 习题 第2章逻辑函数及其简化 2.1 逻辑代数 2.1.1 基本逻辑 2.1.2 基本逻辑运算 2.1.3 真值表与逻辑函数 2.1.4 逻辑函数相等 2.1.5 三个规则 2.1.6 常用公式 2.1.7 逻辑函数的标准形式 2.2 逻辑函数的简化 2.2.1 公式法(代数法) 2.2.2 图解法(卡诺图法) 2.2.3 逻辑函数的系统简化法 习题 第3章集成逻辑门 3.1 晶体管的开关特性 3.1.1 晶体二极管开关特性 3.1.2 晶体三极管开关特性 3.2 TTL集成逻辑门 3.2.1 晶体管一晶体管逻辑门电路(TTL) 3.2.2 TTL与非门的主要外部特性

3.2.3 TTL或非门、异或门、OC门、三态输出门等 3.2.4 其他系列TTL门电路 3.3 发射极耦合逻辑(ECL)门与集成注入逻辑(I2L)电路 3.3.1 发射极耦合逻辑(ECL)门 3.3.2 I2L逻辑门 3.4 MOS逻辑门 3.4.1 MOS晶体管 3.4.2 MOS反相器和门电路 3.5 CMOS电路 3.5.1 CMOS反相器工作原理 3.5.2 CMOS反相器的主要特性 3.5.3 CMOS传输门 3.5.4 CMOS逻辑门电路 3.5.5 BiCMOS门电路 3.5.6 CMOS电路的正确使用方法 3.6 VHDL描述逻辑门电路 3.6.1 VHDL描述电路的基本方法 3.6.2 VHDL描述逻辑门电路 习题 第4章组合逻辑电路 4.1 组合逻辑电路分析 4.1.1 全加器 4.1.2 编码器 4.1.3 译码器 4.1.4 数值比较器 4.1.5 数据选择器 4.1.6 奇偶产生/校验电路 4.2 组合逻辑电路设计 4.2.1 采用小规模集成器件的组合逻辑电路设计 4.2.2 采用中规模集成器件实现组合逻辑函数 4.3 组合逻辑电路的冒险现象

数字电路基础知识 第一节概述

江苏省职业学校课程授课教案 (2013 — 2014 学年第一学期) 课程名称电子线路 课程类别理论 专业电工电子 授课班级一() 授课教师陈曦 学校江苏省东台中等专业学校

课题序号授课班级 授课课时授课形式图示讲授法 章节名称第十二章数字电路基础知识 第一节概述 使用教具常规教具 教学目标1.知道数字信号与模拟信号的特点、发展及应用2.会区别数字电路与模拟电路 教学重点数字电路的特点教学难点数字电路的特点 更新补充 课后作业P182 12-1 教学后记

学生预习内容 1、电子线路中的电信号分类,特点? 2、电路分类,特点? 3、了解数字电路的发展和应用 课堂教学安排 主要活动学习 活动 教师 活动 【复习】 1.三极管、二极管的开关特点。2.反相器的工作原理。学生回 答 教师提 问 【导入】 电子线路中电信号分为模拟信号、数字信号两部分,前面1~9章的内容介绍的即为模拟部分,从本章开始正式进入数字电路的学习。【新授】 第十二章数字电路基础知识 第一节概述 一、数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。80年代后- ULSI,1 0亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级 将来--高分子材料或生物材料制成密度更高、三维结构的电路 二、数字电路的分类及特点 1、数字电路的分类 (1)根据电路的结构特点及其对输入信号的响应规则的不同, --数字电路可分为组合逻辑电路和时序逻辑电路。 (2)从电路的形式不同, --数字电路可分为集成电路和分立电路 (3)从器件不同 --数字电路可分为CMOS 和TTL电路 (4)从集成度不同 --数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。

数字电路教案

《电子技术基础》教案---数字电路---

第八章逻辑门电路 §8-1 数字电路特点及分析方法 教学目的:1、让学生对该科产生浓厚的兴趣 2、培养学生的学习个性,建立起学生的发展方向 3、指导好该科目的学习重点与学习方法 教学重点:如何去学习数字电路及二极管的开关特性 教学难点:让学生产生学习兴趣 教学方法:讲授法,讨论法 教学课时:一课时 教学过程: 一、数字电路的特点及分析方法 1、数字信号的特点: 数字信号在时间上和数值上均是离散的,即在数值上是不连续的,它不随时间连续变化,即为离散的电信号。常用数字0和1表示。这里的0和1代表的是逻辑0和逻辑1,而不是十进制数中的数字。 而模拟信号在时间上是连续的,数值也是连续的。它具有无穷多的数值,其数值表达式也较复杂,例如正弦函数、指数函数等。 2、数字电路的特点: 数字电路的基本工作信号是二进制的数字信号,而二进制数只有0和1两个基本数字,对应在电路上只需要在两种不同状态下工作,即低电平和高电平两种工作状态。所以电路简单,易于集成化,数字电路多采用集成电路 数字通信系统:抗干扰能力强,保密性好,容量大;(例如手机) 数字化测量:精度高,功能完备,具有数控测试功能:(例如数字示波器) 数字设备:精度高、功能完备、智能化。(扩展讲数字电视和数码照相机) 计算机:最具代表性的数字系统,具有极强的信息处理和控制能力。 3、数字电路的分析方法: 数字电路主要是研究电路的输出信号与输入信号之间的状态关系,即所谓的逻辑关系。通常数字电路用逻辑代数、真值表、逻辑电路图、卡诺图、波形图等方法进行分析。 数字电路和模拟电路是电子电路的两个分支,在实际中,两者常配合应用。 4、数字电路学习资料来源(以提高学生的学习兴趣,扩宽知识面) (1)、《电子技术基础》不同版本教材(例如康华光主编) (2)、《555集成电路应用手册> (3)、《电子报》

《数字电子技术》知识点

《数字电子技术》知识点

《数字电子技术》知识点 第1章 数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(37.25)10= (100101.01)2= ( 25.4)16= (00110111.00100101)8421BCD 4.基本逻辑运算的特点 与运算:见零为零,全1为1; 或运算:见1为1,全零为零; 与非运算:见零为1,全1为零; 或非运算:见1为零,全零为1; 异或运算:相异为1,相同为零; 同或运算:相同为1,相异为零; 非运算:零变 1, 1变零; 要求:熟练应用上述逻辑运算。 5.数字电路逻辑功能的几种表示方法及相互转换。 ①真值表(组合逻辑电路)或状态转换真值表(时序逻辑电路):是由变量的所有可能取值组合及其对应的函数值所构成的表格。 ②逻辑表达式:是由逻辑变量和与、或、非3种运算符连接起来所构成的式子。 ③卡诺图:是由表示变量的所有可能取值组合的小方格所构成的图形。 ④逻辑图:是由表示逻辑运算的逻辑符号所

构成的图形。 ⑤波形图或时序图:是由输入变量的所有可能取值组合的高、低电平及其对应的输出函数值的高、低电平所构成的图形。 ⑥状态图(只有时序电路才有):描述时序逻辑电路的状态转换关系及转换条件的图形称为状态图。 要求:掌握这五种(对组合逻辑电路)或六种(对时序逻辑电路)方法之间的相互转换。 6.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。 举例3:求下列逻辑函数的反函数和对偶函数:E D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:))((E D C B A Y D +++= 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4:用公式化简逻辑函数:C B BC A ABC Y ++=1 解:B C B BC C B BC A A C B BC A ABC Y =+=++=++=)(1 举例5:用公式法化简逻辑函数为最简与或式:BC B C A B C A F +++?= 解:BC B B C A BC B C A B C A BC B C A B C A F ++=++=+++=)(

数字逻辑电路的用途和特点

数字逻辑电路的用途和特点 数字电子电路中的后起之秀是数字逻辑电路。把它叫做数字电路是因为电路中传递的 虽然也是脉冲,但这些脉冲是用来表示二进制数码的,例如用高电平表示“1”,低电平 表示“0”。声音图像文字等信息经过数字化处理后变成了一串串电脉冲,它们被称为数 字信号。能处理数字信号的电路就称为数字电路。这种电路同时又被叫做逻辑电路,那是 因为电路中的“1”和“0”还具有逻辑意义,例如逻辑“1”和逻辑“0”可以分别表示电 路的接通和断开、事件的是和否、逻辑推理的真和假等等。电路的输出和输入之间是一种 逻辑关系。这种电路除了能进行二进制算术运算外还能完成逻辑运算和具有逻辑推理能力,所以才把它叫做逻辑电路。 数字逻辑电路以其易于集成、传输质量高、运算能力强、逻辑推理能力强等优点,在 计算机、自动控制、通信、测量等领域得到了广泛的应用。在一般家用电器中,如计时器、报警器、控制器、电子钟、电子玩具等,应使用数字逻辑电路。数字逻辑电路的第一个特 点是突出“逻辑”一词,使用独特的图形符号。有两种基本单元电路,门电路和触发电路,它们由晶体管、电阻器和其他元件组成。然而,在逻辑电路中,无论使用多高的电压、 TTL电路或CMOS电路等,我们只使用几个简化的图形符号来表示它们,而没有绘制它们的具体电路。根据逻辑功能的要求,将这些图形符号组合而成的图就是逻辑电路图,它与一 般放大振荡或脉冲电路图完全不同。 数字电路中有关信息是包含在0和1的数字组合内的,所以只要电路能明显地区分开 0和1,0和1的组合关系没有破坏就行,脉冲波形的好坏我们是不大理会的。所以数字逻辑电路的第二个特点是我们主要关心它能完成什么样的逻辑功能,较少考虑它的电气参数 性能等问题。也因为这个原因,数字逻辑电路中使用了一些特殊的表达方法如真值表、特 征方程等,还使用一些特殊的分析工具如逻辑代数、卡诺图等等,这些也都与放大振荡电 路不同。 门电路和触发器 (1)门电路 门电路是数字逻辑电路中最简单的元件。目前,有大量的集成产品可供选择。 最基本的门电路有3种:非门、与门和或门。非门就是反相器,它把输入的0信号变 成1,1变成0。这种逻辑功能叫“非”,如果输入是a,输出写成p=a。与门有2个以上 输入,它的功能是当输入都是1时,输出才是1。这种功能也叫逻辑乘,如果输入是a、b,输出写成p=ab。或门也有2个以上输入,它的功能是输入有一个1时,输出就是1。这种 功能也叫逻辑加,输出就写成p=a+b。 结合这三种基本门电路,我们可以得到各种复合门电路,如与非门加与非门,或门加 非门进非门。图1是它们的图形符号和真值表。此外,还有与非门、异或门等。

《数字电子技术》知识点

欢迎阅读 《数字电子技术》知识点 第1章 数字逻辑基础 1.数字信号、模拟信号的定义 2.数字电路的分类 3.数制、编码其及转换 要求:能熟练在10进制、2进制、8进制、16进制、8421BCD 之间进行相互转换。 举例1:(37.25)10= ( )2= ( )16= ( )8421BCD 解:(4与或与非或非异或同或非要求:5①②③④⑤⑥ 要求:6.逻辑代数运算的基本规则 ①反演规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,原变量换成反变量,反变量换成原变量,那么所得到的表达式就是函数Y 的反函数Y (或称补函数)。这个规则称为反演规则。 ②对偶规则:对于任何一个逻辑表达式Y ,如果将表达式中的所有“·”换成“+”,“+”换成“·”,“0”换成“1”,“1”换成“0”,而变量保持不变,则可得到的一个新的函数表达式Y ',Y '称为函Y 的对偶函数。这个规则称为对偶规则。要求:熟练应用反演规则和对偶规则求逻辑函数的反函数和对偶函数。

举例3:求下列逻辑函数的反函数和对偶函数:D C B A Y += 解:反函数:))((E D C B A Y +++= 对偶函数:)(E D C B A Y D +++= 7.逻辑函数化简 (1)最小项的定义及应用; (2)二、三、四变量的卡诺图。 要求:熟练掌握逻辑函数的两种化简方法。 ①公式法化简:逻辑函数的公式化简法就是运用逻辑代数的基本公式、定理和规则来化简逻辑函数。 举例4: 解:Y 1举例5:解:F =举例6:解:F =(举例7:解:则Y 举例8 (1)基本概念 1)数字电路中晶体管作为开关使用时,是指它的工作状态处于饱和状态和截止状态。 2)TTL 门电路典型高电平为3.6 V ,典型低电平为0.3 V 。 3)OC 门和OD 门具有线与功能。 4)三态门电路的特点、逻辑功能和应用。高阻态、高电平、低电平。 5)门电路参数:噪声容限V NH 或V NL 、扇出系数N o 、平均传输时间t pd 。 6)OC 门(集电极开路门)的主要应用。 7)三态门的主要应用。 8)门电路多余输入端的处理。 要求:掌握八种逻辑门电路的逻辑功能;掌握OC 门和OD 门,三态门电路的逻辑功能;能根据输入信号画出各种逻辑门电路的输出波形。

数字电子技术

第1章 逻辑代数基础 1.1概述 1.1.1数字信号和数字电路 1、模拟信号是指在时间上和数值上都是连续变化的信号。 2、数字信号是指在时间上和数值上都是断续变化的离散信号。 1.1.2数字电路的分类和特点 一、特点 1、数字电路在稳态时,电子器件处于开关状态,即工作在饱和区和截止区。和二进制信号的要求是对应的。分别用0 和1来表示。 2、数字电路信号的1和0没有任何数量的含义,而只是状态的含义,所以电路在工作时要能可靠地区分开1和0两种状态。 3、对已有电路分析其逻辑功能,叫做逻辑分析; 按逻辑功能要求设计电路,叫做逻辑设计。 4、数字电路工作状态主要是用逻辑代数和卡诺图法进行分析化简。 5、数字电路能够对数字信号1和0进行各种逻辑运算和算术运算。 二、数字电路的分类和应用 1、数字电路按组成的结构可分为分立元件电路和集成电路两大类。集成电路按集成度分为小规模、中规模、大规模和超大规模集成电路。 2、按电路所用器件的不同。数字电路又可分为双极型和单极型两大类。 3、根据电路逻辑功能的不同,数字电路又可分为组合逻辑电路和时序逻辑电路两大类。 1.2几种常用的数制和码制 1. 2.1 数制 一 十进制 (Decimal) 十进制有如下特点: (1)它的数码K 共有十个,为0、1、2、3、4、5、6、7、8、9。 (2)相邻位的关系,高位为低位的十倍,逢十进一,借一当十,即十进制的基数R 等于10。 (3)任何一个十进制都可以写成以10为底的幂之和的形式。 ()10--10i i i i i i N K R K ∞∞ =∞ =∞ =⨯=⨯∑∑

(246.134)10 = 2×102 + 4×101 + 6×100 + 1×10-1 + 3×10-2 + 4×10-3 二二进制 (Binary) 按权展开式表示 (1011)2 = 1×23 + 0×22 + 1×21 + 1×20 将按权展开式按照十进制规律相加,即得对应十进制数。 (1011.11)2 = 1×23 + 0×22 + 1×21 + 1×20 + 1×2-1 + 1×2-2 = 8 + 0 + 2 + 1 + 0.5 + 0.25 = 11.75 进位规律:逢二进一,借一当二 例如 0 + 1 = 1 1 + 1 = 10 11 + 1 = 100 10 – 1 = 1 三十六进制 (Binary) (XXX)16 或 XXXH 数码:0~9、A ~F 进位规律:逢十六进一,借一当十六。 权:16i基数:16 系数:0~9、A~F 按权展开式表示 (4E6)16=4×162+E ×161+6 ×160 将按权展开式按照十进制规律相加,即得对应十进制数。 (4E6)16 = 4×162+14 ×161+6 ×160 =(1254)10=(1254)10 几种进制的优缺点: 以十进制和二进制作比较,十进制在日常生活中应用最多,是人们最熟悉和习惯的计数体制,但其十个数码在数字电路中难于找到十个状态与之对应.数字电路的两个状态可用两个数码表示,故采用二进制.二进制计算规则简单,但人们对它不习惯,另外其数位较多,不易读写.利用二进制与十进制和十六进制的对应关系对十进制和十六进制以及二进制编码,用起来就很方便了。

二进制数及数字电路的基本概念教案

课题7.1二进制数及数字电路的基本概念7.2晶体管的开关特性及基本逻辑门电路 教学目标【知识目标】掌握二进制数及基本逻辑门电路 【能力目标】 1. 二进制数与十进制数的相互转换 2.二极管静态开关特性 3.基本逻辑门电路 【德育目标】培养学生刻苦专研的精神 教 学重点二极管静态开关特性 教 学 难 点 二进制数与十进制数的相互转换 教 学时间2课时(第19周) 教 具 准 备 二极管、电阻、导线 教学组织与实施 教师活动学生活动 【新课导入】 数字电路和模拟电路是电子技术的两个重要组成部分。现代计算机、通讯设备、数控系统、各种数码电器及设备等都以数字电路为基础。掌握数字电路的基本概念、原理以及典型应用电路,是学习电子技术的基本要求和任务。 【新课讲授】 1、数制 数制是一种计数的方法,它是进位计数制的简称。 (1)十进制日常生活中采用的是十进制数,它以10为基数,共有0~9十个数码,进位规则是“逢十进一”。 如 (1351.25)10=1×103+3×102+2×101+1×100+2×10-1+5×10-2 其中103、102、101、100、10-1、10-2称为千位、百位、十位、个位、十分位、百分位的权,它们都是基数10的幂。数码与权的乘积,称为加权系数,如上述的1×103、3×102、2×101、1×100、2×10-1、5×10-2。 十进制数的值是各位加权系数之和。 (2)二进制数字电路中应用最广泛的是二进制数,它以2为基数,共有0和1两个数码,它的进位规则是“逢二进一”。 如 (1011.01)2=1×23+0×22+1×21+1×20+0× 2-1+1×2-2

中考物理晶体管在放大电路中的应用复习

中考物理晶体管在放大电路中的应用复习物理学中的晶体管是一种用于管控电流的半导体装置。它的应用广泛,特别在放大电路中起到了重要的作用。本文将针对中考物理晶体管在放大电路中的应用进行复习。 一、晶体管的基本结构和工作原理 晶体管通常由三个区域组成:发射区、基区和集电区。其中,基区是控制电流的关键部分。 在晶体管中,当电压施加在基极和发射极之间时,由于发射结中的电场作用,使得发射区的电子被强烈地注入到基区。而基区的电子浓度又远高于集电区,从而形成了一个电子浓度梯度。 当在晶体管的基极施加小信号电压时,由于基区的电子浓度梯度,电子会在基区内受到驱动,并导致集电区的电流改变,实现了信号电流的放大。 二、晶体管的放大作用 晶体管具有放大电流的作用,其数学关系可以由放大倍数表达:放大倍数 = 出电流 / 输入电流 当晶体管工作在放大区时,其输出电流远大于输入电流,从而实现了对信号电流的放大效果。 这种放大作用是通过晶体管基区的电子浓度变化来实现的。当输入信号较小时,晶体管基区电子浓度较低,输出电流较小;而当输入信

号较大时,基区电子浓度增加,从而实现较大的输出电流,实现了对输入信号的放大。 三、晶体管的应用 1. 放大器 晶体管作为放大器在电子设备中应用广泛。晶体管可以放大微弱的信号电流,并经过电路传输到输出端,从而实现对信号的放大效果。 例如,在收音机中,晶体管放大器能够将微弱的无线电信号转化为可听见的声音信号,提高了收音机的接收能力。 2. 开关电路 晶体管还可以用作开关,控制电流的通断。当晶体管的输入信号较小时,晶体管处于关闭状态,不导电;而当输入信号较大时,晶体管处于导通状态,电流可以流通。 利用晶体管的开关特性,可以构建数字电路中的逻辑门电路,如与门、或门等。这些逻辑门电路在计算机和电子设备中扮演着重要的角色。 3. 摄像器件 在摄像器件中,晶体管负责将感光元件获取到的光电信号转化为电流信号,并通过电路加以处理和放大。这些处理后的电流信号可以通过控制其他器件实现图像的捕捉和传输,从而实现摄像功能。 四、晶体管应用的优势和挑战

电子信息与科学技术专业 数字电路(理论)课程教学大纲

电子信息与科学技术专业数字电路(理论)课程教学大纲一、课程基本信息 课程代码:92205103 课程中文名称:数字电路 课程英文名称:Digita1CirCUitS 课程性质:必修课 使用专业:电子信息与科学技术专业 开课学期:第四学期 总学时:72学时 总学分:4学分 预修课程:电路分析、模拟电路 课程简介 本课程是电子信息与科学技术专业的重要主干课。《数字电路》是继《电路分析》《模拟电路》课程后,电子信息与科学技术、计算机、教育技术及物理学等专业学生在数字电子技术方面入门性质的技术基础课,是电子技术基础的一个部分,其目的和任务是让学生获得数字电路的基本知识,为以后深入学习《微机原理及其应用》《单片机原理及接口技术》《数字系统设计》等课程的内容打下基础。 教材建议 康华光、陈大钦、张林编《电子技术基础数字部分》第五版,高等教育出版社,时间:2006年1月 参考书 [1]康华光、陈大钦、张林编《电子技术基础数字部分》(第四版),康华光主编,高等教育出版社 [2]阎石主编《数字电子技术基础》(第四版),高等教育出版社 二、课程性质、目的及总体教学要求 课程的基本特性: 《数字电路》是电子信息与科学技术专业学生一门重要的基础课,在人才培养方案中列为必修课程和学位课程,是学生在数字电子技术方面入门性质的技术基础课。本课程教学要求学生比较系统地掌握数字电路基础知识,并能较灵活地加以应用,为进一步学习后继课程打下良好的基础。 课程的教学目的: 本门课程的教学目的是让学生获得数字电路的基本知识,并能较灵活地加以应用,为以后深入学习《微机原理

及其应用》《单片机原理及接口技术》《数字信号处理》等课程的内容打下基础。 课程的总体教学要求 通过本门课程的学习,使学生获得数字电路的基本理论、基本知识和基本技能,培养学生分析和设计数字电路的能力,为数字电子技术在专业中的应用打好基础。 1.通过本门课程的学习,使学生获得数字电路的基本理论、基本知识和基本技能,培养学生分析和设计数字电 路的能力。 2.通过对典型数字电路系统分析,认识其功能并了解其在实际中的应用,从而培养其对研究与设计数字电路的 兴趣。 3.初步培养学生的辨证唯物主义世界观。 4.教师在教学中应注意本课程与电路分析、模拟电路的联系与衔接。 三、章节内容、学时分配及教学要求 (注:打*号部分课堂上不讲,不作普遍要求,学生可根据自己情况选读) 第一章数字逻辑基础(4课时) 1.绪论 2.数制 3.二进制码 4.基本的逻辑运算 要求: (1)了解:数字信号与模拟信号:数字电路的含义;数字电路研究对象;特点与应用;除8421码外常用二-十进制码。 (2)理解:逻辑代数中的三种基本运算;逻辑与、或、非及其含义;逻辑函数及其表示方法、基本逻辑运算、逻辑函数的相等。 ⑶掌握:二、十六进制及其与十进制的相互转换;8421二•十进制码。 第二章逻辑门电路(6课时) 1晶体二/三极管开关特性 2.TT1与非门 3.MOS门电路 要求: (1)了解:除TT1与非门电路以外的其它电路结构及工作原理;TT1门电路产品型号知识;CMOS电路产品型号知识;TT1与CMOS电路的使用知识及相互连接;OC门、TS1门的逻辑功能和应用。 ⑵理解:TT1与非门电路电路结构及工作原理(三态、OC):分立元件逻辑门电路(与门、或门、非门)。 TT1与非门电路的电压传输特性、输入/输出特性、主要参数的测量方法。 ⑶掌握:TT1和CMOS与非门的逻辑功能、特性、参数和使用方法。 第三章合逻辑电路的分析与设计(10课时) 1.逻辑代数 2.逻辑函数的卡若图化简法 3.组合逻辑电路的分析 4.逻辑电路的设计 5.组合逻辑电路中的竞争冒险 要求: (1)了解:了解卡诺图的性质与运算。 ⑵理解:组合逻辑电路的组成和输入输出特性;组合逻辑电路的竞争一冒险现象;产生竞争一冒险的原因,检查与消除竞争一冒险的方法。 (3)掌握:逻辑代数的基本定理,定律和运算方法。逻辑函数公式化简和卡诺图化简的方法。组合逻辑电路的分析和设方法。 第四章逻辑功能器件(8课时)

任务一数字电路基础知识

电子教案 学年第 2 学期课程汽车电工电子控制基础教研组任课教师

教学步骤与内容时间(分 钟) 所以[13]10=[1101]2。 第3、4课时 2.码制 数字电路中的二进制数码不仅可以用来表示数字的大小,还可以用来表示各种文字、符号、图形等非数值信息。通常把表示文字符号等信息的二进制数码称为代码,如:110。 (二)晶体管开关电路 在脉冲电路中,经常把二极管和三极管作为“开关’’使用。当二极管正向导通或三极管饱和导通时,相当于一个开关处于接通状态。反之,当二极管或三极管截止时,则相当于开关处于断开状态。利用二极管或三极管的开关作用可以控制脉冲电路中脉冲信号的产生、变换和传递。研究它们的开关特性,就是具体分析饱和导通和截止之间的转化问题,当脉冲信号频率很高时,开关变换的速度非常快,可达每秒百万次数量级,这就要求管子的饱和导通与截止两种状态的转换要在瞬间完成。 1. 二极管的开关特性 二极管的开关特性表现在正向导通与反向截止这两种不同状态之间的转换过程。二极管加反偏电压从原来稳定的导通状态转换为稳定的截止状态的过程称为反向恢复过程。所需的时间称为反向恢复时间。 测试表明:一般二极管的反向恢复时间在纳秒(ns)数量级,例如:2CK系列硅开关二极管为150ns,2AK系列锗开关二极管为150ns。二极管从原来稳定的截止状态在外加的正偏电压作用下转换为稳定的导通状态也需要一个过程,称为正向导通过程。所需的时间称为开通时间。实验证明:二极管的开通时间远小于反向恢复时间。通常因为它对二极管开关速度的影响很小,可以忽略不计。

教学步骤与内容时间(分 钟) 第5、6课时 2. 三极管的开关特性 在5.3节中,已经讨论过三极管的开关作用,三极管相当于一个由基极电流所控制的无触点开关,三极管截止时相当于开关断开,而饱和时相当于开关闭合。图7.1是利用三极管的开关作用而构成的基本开关电路。 图7.1是说明三极管开关时间的示意图。如果在三极管的输入端加入如图7.2(a)所示的理想矩形波,其幅度在-Uc,和+UG2之间变化,则输出电流ic的波形将如图7.2(b)那样已不再是理想矩形波,ic的波形与输入电压u1波形相比,其上升沿、平顶部分和下降沿都延迟了一段时间。 考核评价: 布置作业: 一、填空题: 1.数字信号也叫脉冲信号,是指的电压或电流信号。其高电平和低电 平常用和来表示。 2.在数字电路中,二极管工作在和两种状态;三极管通常工作 在和两种状态。

晶体管数字电路-概述说明以及解释

晶体管数字电路-概述说明以及解释 1.引言 1.1 概述 概述 晶体管数字电路是现代电子技术中的重要组成部分,它是实现数字系统功能的基本单元。晶体管的发明和应用在电子领域带来了革命性的变化,极大地推动了计算机和通信技术的发展。 晶体管是一种半导体器件,它基于半导体材料的电导特性来控制电流的流动。晶体管由三个主要组成部分构成,即基极、发射极和收集极。通过调节基极电流的大小,可以实现对晶体管的控制,从而改变电路中的电流和电压。 晶体管的工作原理基于PN结的电导特性。当PN结正向偏置时,电流可以流动,晶体管处于导通状态;当PN结反向偏置时,电流无法流动,晶体管处于截止状态。这样,通过控制基极电流和电压,可以实现晶体管的开关控制。 晶体管数字电路的设计与应用是基于开关特性实现的。通过将多个晶体管连接在一起,可以构建出各种复杂的数字电路,如逻辑门、触发器和计数器等。这些数字电路在计算机、通信和控制系统中起着重要的作用,

实现了数字信号的处理和转换。 晶体管数字电路的重要性不仅体现在其在计算机领域的广泛应用,还在于其在推动技术进步和社会发展方面的影响。晶体管的小巧、高可靠性和低功耗等特点,使得数字电路可以更加紧凑和高效。晶体管数字电路的快速发展也催生了计算机和通信技术的迅猛发展,为人类社会的进步做出了巨大贡献。 展望未来,晶体管数字电路仍然具有广阔的发展空间。随着科技的不断进步,晶体管的尺寸会越来越小,集成度会越来越高,功耗会越来越低。同时,晶体管数字电路的应用领域也将不断扩展,涵盖更多的领域和行业,如物联网、人工智能和新能源等。 总之,晶体管数字电路作为现代电子技术的基础,具有重要的应用价值和发展前景。通过深入研究晶体管基础知识和工作原理,不断探索和创新晶体管数字电路的设计与应用,我们可以为推动技术进步和社会发展做出更大的贡献。 文章结构部分的内容可参考以下写法: 1.2 文章结构 本文主要分为引言、正文和结论三个部分。

2020年国家开放大学《数字电子电路》教学辅导文稿1

2020年国家开放大学《数字电子电路》辅 导文稿1 学习·数字电子电路。背景及基本概念0.1 数字量与模拟量 在自然界形形色色的物理量中,尽管各种物理量的性质不同,但就其变化规律的特点,可以将其归结为两大类:数字量和模拟量。 数字量的变化在时间和数量上不连续,即它们的变化在时间上总是发生在一系列离散的瞬间,数值的大小或每次增减的变化都是某个最小数量单位的整数倍。表示数字量的信号叫做数字信号,处理数字信号的电路叫做数字电路。 与之对应的另一类物理量叫做模拟量,模拟量的变化在时间和数量上是连续的,例如正弦函数、指数函数等,人们熟悉的自然界的许多物理量都具有模拟性质,例如速度、温度、声音等。把表示模拟量的信号叫做模拟信号,处理模拟信号的电路叫做模拟电路。 0.2 数字电路及其特点 数字电路处理的信号在时间和数值上是不连续的离散信号,即数字信号。数字电路中常用二值数字逻辑,采用数字0和1表示数字信号,这里的0和1不是十进制中的数字,而是逻辑0和逻辑1,相当于客观世界中彼此相关又互相联系的是与非、真与假、开与关等逻辑关系。 在数字电路中,可以用电子器件的开关特性形成不同的数字电压,用这些数字电压的高电平或低电平表示逻辑1或逻辑0。数字电路有以下特点: (1)研究对象 数字电路研究输入高低电平与输出高低电平之间的因果关系,即逻辑关系。 (2)研究工具 数字电路研究的工具是逻辑代数。在数字电路中的0和1表示两种对应的状态,而不是数值本身的大小。 (3)半导体器件的工作状态 由于数字电路输入输出只有两种状态,因此组成电路的半导体器件大多工作在开关状态。半导体器件饱和导通时,相当于开关闭合;截止时,相当于开关断开。 (4)数字电路的抗干扰 由于数字电路输入输出则是非高则低两种状态,因此,当由于电路内部或外部原因使输出信号波动时,只要波动的幅度在一定范围不致改变信号原来的1或0状态,电路仍能正常工作。因此,数字电路具有较强的抗干扰能力。

相关文档
最新文档