天津大学数字集成电路考点

天津大学数字集成电路考点
天津大学数字集成电路考点

第一章 导线

1. 集成电路的导线已经形成复杂的几何形体,引起电容、电阻和电感等寄生参数

效应。

? 会使传播延时增加,性能下降

? 会影响功率和能耗的分布

? 会引起额外的噪声来源,影响电路的可靠性

2. 树结构的RC 网络

? 该电路只有一个输入点(s)

? 所有的电容都在某个节点与地之间

? 该电路不包括任何电阻回路(形成树结构)

路径电阻:从源节点s 到任何节点i 之间存在唯一的电阻路径,其总电阻称为路径电阻ii R 。

1([()])i

ii j j j R R R path s i ==?∈→∑

共享路径电阻表示从个节点到i 及k 两个节点的路径中共享部分的总电阻ik R 。

1([()()])i

ik j j j R R R path s i path s k ==?∈→?→∑

艾尔默(Elmore)延时:

1N

Di i ik k C R τ==∑

无分支RC 链,即梯形链的艾尔默延时:用路径电阻替换共享路径电阻 1N

DN i ii i C R τ==∑

3. 导线RC 延时模型

理想导线:没有任何附加参数或寄生元件的简单连线。导线一端的变化会立刻传递到另一端;导线是一个等势区。

集总式RC 模型:导线的电阻部分很小,并且开关频率在低至中间范围;把分布的电容集总为单个电容。RC τ=

分布式RC 模型:导线寄生参数沿导线长度分布;导线寄生参数沿导线长度分布。222

DN RC rcL τ== T 模型和π 模型的艾尔默延时计算。

T2,T3,Pi3模型的延时

第二章CMOS反相器1.CMOS静态特性

1)输出摆幅等于电源电压即高电平为VDD,低电平为GND,

噪声容限大;

2)逻辑电平与器件尺寸无关,所以晶体管可以采用最小尺寸,

属于无比例逻辑;

3)稳态时输出与VDD或者GND之间总存在一条有限的电阻通

路;

4)输入阻抗很高,理论上,单个反相器可以驱动无数个门;

5)稳态时候,电源和地之间没有直接的通路,没有电流存在

(忽略漏电流),即该门电路不消耗任何静态功耗。

2.VTC,参数

开关阈值

M

V : 定义为

in out

V V

=的点(PMOS和NMOS都处

于饱和区)。

1

DD

M

rV

V

r

=

+

,开关阈值取决于r,它是PMOS与NMOS

的相对驱动强度比。

M

V相对与器件尺寸比值不敏感。增大Wp和

Wn使

M

V分别移向VDD和GND。

噪声容限:已知

IH

V和

IL

V是1

out

in

dV

dV

=-时的点,噪声容限H

NM和

L

NM定义为

H DD IH

NM V V

=-,

L IL

NM V GND

=-。

器件参数对VTC的影响:

1)工艺的不确定引起开关阈值的平移,好PMOS差NMOS右移,

好NMOS差PMOS左移。

2)降低电源电压,工作在亚阈值。

3. CMOS 反相器动态特性

栅漏电容12gd C :引起瞬态响应的输出过冲。

负载电容L C :由内部扩散电容1db C 2db C 、互连线电容w C 和扇出电容3g C 4g C 三部分组成。

反相器的延时分析:如何减小传播延时

'30.690.594(/)(/2)

L DD L DD pHL DSTn n n DSATn DD Tn DSATn C V C V t I W L k V V V V ==-- 1) 减小L C :细致的版图设计

2) 增加晶体管宽长比/W L :容易引起扩散电容增加(自载效

应)

3) 提高VDD ,牺牲能量的损耗来换取性能的提高。

反相器尺寸选择:

0(1)ext p p iref

C t t SC =+ 0int 0.69p eq t R C =

反相器的本征延时与门的尺寸无关;无负载时,增加门的尺寸不能减少延时;有负载时,S 很大时反相器延时趋于本征延时时,增大

尺寸便不会有什么改善。

第三章 静态CMOS 组合逻辑

1. 基本的电路结构

上拉网络PUN 和下拉网络PDN :PDN 由NMOS 构成;PUN 由

PMOS 构成。因为NMOS 产生“强0”而PMOS 器件产生“强1” NMOS 串联相当于“与”逻辑,PMOS 串联相当于“或”逻辑;

NMOS 并联相当于“或”逻辑,PMOS 并联相当于“与”逻辑。

NAND :两个PMOS 并连,与两个NMOS 串连互补;

NOR :两个PMOS 串连,与两个NMOS 并连互补;

OR :NOR 后接INVERTER

2. 复合门设计

关于扇入:传播延时在最坏的情况下与扇入数的平方成正比

大扇入时的设计技巧:

1) 调整晶体管尺寸;只有当负载以扇出电容为主时,才有

效果。逐级加大晶体管尺寸:距输出越近,晶体管尺寸

越小。

2) 重新排晶体管的顺序,使关键路径靠近输出端。

3)重构逻辑结构,变换逻辑方程的形式,降低对扇入的要

求,从而减少门延时

4)在输出端和负载之间插入缓冲链。

3.有比逻辑电路

目的:减少实现一个给定逻辑功能所需要的晶体管数目,但经常以降低稳定性和付出额外功耗为代价。

4.差分级联电压开关逻辑

静态逻辑:互补NMOS下拉管,交叉连接PMOS上拉管;

负载:仅一个PMOS管,具有伪NMOS 优点;

差分型:同时要求正反输入,面积大,但在要求互补输出或两个下拉网络能共享时比较有利;

DCVSL比通常的CMOS逻辑慢(因Latch 反馈作用有滞后现象,但在特定情况下很快,例如存储器纠错逻辑的XOR 门);

无静态功耗,但有较大的翻转过渡(Cross-over)电流。

5.传输管逻辑

传输管逻辑实现的AND门,需要较少的晶体管实现给定的功能

通过允许原始输入驱动栅端和源-漏端来减少实现逻辑所需要的

晶体管数目。

第四章动态CMOS组合逻辑

1.动态逻辑门的两个操作阶段

动态逻辑门的工作可以分为两个主要阶段:预充电和求值,处于何种工作模式由时钟信号CLK决定。

预充电:当CLK=0时输出节点out被PMOS管Mp预充电值VDD,

NMOS求值管Me关断,下拉路径不工作。

求值:当CLK=1时预充电管Mp关断,求值管Me导通求值。

2.动态门的特点

1)逻辑功能仅由PDN实现(紧凑),晶体管数目是N+2(静态

CMOS需2N个晶体管),输入电容与伪NMOS逻辑相同

2)全摆幅输出(VOL = GND 及VOH = VDD)

3)无比逻辑–器件尺寸不影响逻辑电平

4)上拉速度改善,下拉时间变慢

5)快速的开关速度

6)输入只允许在预充电阶段变化,在求值阶段必须保持稳定

7)简单的动态CMOS 逻辑级不能串联

8)需要预充电/求值时钟

9)总功耗通常高于静态CMOS

10)噪声容限(NML)小,对噪声敏感

11)对漏电敏感

12)有电荷分享问题

3.动态设计中的信号完整性问题

1)电荷泄露:一个动态门的工作取决于输出值在电容上的动态存

储。如果下拉网络关断,那么理想情况下,输出在求值阶段应

当维持在预充电状态的VDD。然而由于存在漏电电流,这一电

荷将逐渐泄露掉,最终会使这个门的工作出错。

漏电流来源主要是亚阈值导电和反偏二极管。

解决办法:增加一个泄露晶体管补偿漏电。

2)电荷分享:原先存放在C L 上的电荷由C L和C A重新分布(分

享),导致输出电压有所下降,鲁棒性降低。

解决办法:采用时钟驱动的晶体管预充电内部关键节点,代价

是增加了面积和功耗。

4.动态门的级联问题

简单的动态CMOS逻辑不能级联应用,需要采用多米诺逻辑,

一个多米诺(Domino)逻辑块由一个n型动态逻辑块后面接一个静态反相器构成;由于多米诺模块输出由一个低阻抗的静态反相器驱动,提高了抗噪声能力。

多米诺逻辑可以串联,串联的数目取决于在求值的时钟阶段,相串联的各级动态逻辑能来得及一个接一个地求值完毕。

多米诺逻辑的特点:

逻辑求值的传播如同多米诺骨牌的倾倒,求值阶段的时间决定了(允许的)逻辑深度;只能实现非反相的逻辑(所有的门均为非反相);只有一个过渡被优化;门为无比逻辑,但电平恢复电路为有比逻辑;节点必须在预充电期间被预充电(这可能限制了PMOS的最小尺寸);求值期间,输入必须稳定,对nlogic只能有一个上升的过渡。速度非常快;增加电平恢复电路可以减少漏电和电荷分享问题。

第五章静态时序逻辑电路

两种存储机理:正反馈和基于电荷

1.存储单元的实现方法比较

利用正反馈:静态,信号可以”无限”保持;鲁棒性好,对扰动不敏感;对触发脉冲宽度的要求:触发脉冲的宽度须稍大于沿环路总的传

播时间,即两个反相器平均延时的两倍;尺寸大,限制了在计算结构如流水线式数据通路中的应用。

利用电荷存储:动态(要求定期刷新,要求从存储电容中读出信号时不会干扰所存储的电荷,因此要求具有高输入阻抗的器件)

双稳态电路:

过渡区的增益应当大于1,AB 为稳态工作点,C 为亚稳态点

触发翻转(写入数据)的方法:

(1)切断反馈环(采用Mux )

(2)触发强度超过反馈环强制驱动(正确设计尺寸)

2. 时间参数:

1) 建立时间su t :在时钟翻转(对于正沿触发寄存器为0→ 1

翻转)之前数据输入必须有效的时间。

2) 维持时间hold t :在时钟边沿之后数据输入必须仍然有效的时

间。

3) 传播延时clk q t -: 假设建立时间和维持时间都满足要求,输入

D 端的数据在最坏情况下的延时clk q t -(相对于时钟边沿)之

后被复制到输出端Q 。

3. Latch 和Register

锁存器:

电平灵敏,不是边沿触发;可以是正电平灵敏或负电平灵敏,当时钟为高电平(或低电平)时,输入的任何变化经过一段延迟就会反映在输出端上;有可能发生竞争(Race)现象,只能通过使时钟脉冲

的宽度小于(包括反相器在内的)环路的传播时间来避免。

最高时钟频率(最小时钟周期)应满足:clk q pcomb su T t t t -≥++

维持时间需满足:log cdreg cd

ic hold t t t +>

CLK CLK

CLK

D

Q

写入方法:

基于(传输门实现)Mux: 尺寸设计容易,晶体管数目多;

弱反相器实现。

主从边沿触发寄存器:

时钟为高电平时,主Latch 维持,QM 值保持不变,输出值Q 等于

时钟上升沿前的输入D 的值,效果等同于“正沿触发”

效果等同于“负沿触发”的主从寄存器只需互换正Latch和负Latch

的位置。

4.时钟重叠问题

非理想时钟会有时钟重叠现象,用伪静态锁存器构成的主从触发器

当Clk 和反Clk 发生重迭时,可能引起失效: 当Clk 和反Clk 同时为高

时,A 点同时为In 和B 点驱动,造成不定状态。

当Clk 和反Clk 同时为高一段较长时间时,In 可以直接穿通经过主从

触发器。

解决办法:产生两相不重叠的时钟,但时钟不重迭部分不能太长以

免漏电时间过长引起出错。

第六章动态时序逻辑电路

1.动态Latch和Register

动态传输门边沿触发寄存器:只需8个晶体管,节省功耗和提高性能,甚·至可只用NMOS实现。

动态特点:比静态Latch和Register 简单;基于在寄生电容上存储电荷,由于漏电需要周期刷新(或经常更新数据);“不破坏地”读信息:因此需要输入高阻抗的器件。

问题:高阻抗的内部动态节点易受噪声源的干扰;漏电影响了低功耗(例如停止时钟以节省功耗)技术;内部动态节点的电压并不跟踪电源电压的

变化,从而降低噪声容限。解决办法:增加一个弱反馈反相器这会增加抗噪声能力,但会增加延时除高性能数据通路外,一般均应使寄存器成为伪静态的或静态的。

2. 动态Register 的时钟重叠问题

在0-0重叠期间,T1的PMOS 和T2的PMOS 同时导通,形成数据从寄存器的D 输入留到Q 输出的直接通路,对于1-1重叠亦是如此。这可以通过强加维持时间约束来解决:

0-0重叠竞争限制条件:00112overlap T I T t t t t -<++

1-1重叠竞争限制条件:11overlap hold t t -<

3. C 2MOS 主从正沿触发寄存器

时钟控制CMOS 寄存器的工作分为两个阶段:

当CLK=0时,第一个三态驱动器导通,此时的主级像一个反相器在内部节点Q M 采样D 的反相数据,因此主级处于求值模式。同时从级处在高阻抗模式,即维持模式。晶体管M7,M8均关断,输出Q 维持其原来存储在C2上的值。

当CLK=1时,主级处于维持模式,M3-M4关断,M7-M8导通,从级求值。存放在C1上的值经过从级传输到输出节点,此时的从级作用像一个反相器。 特点:只要时钟边沿的上升和下降时间足够小,具有CLK 和反CLK 时钟控制的这一C 2MOS 寄存器对时钟重叠时不敏感的。

4. 真单相位时钟控制(TSPC )Latch 和Register

正电平Latch:CLK=1时透明,CLK=0时维持;负电平Latch相反。

简化的TSPC Latch:

优点:减少了一个时钟控制管,同时也减少了时钟负载

缺点:内部节点电平不是全幅摆(例如A点有阈值损失)

嵌入逻辑功能的TSPC:

Logic嵌入Latch内AND Latch

TSPC Latch特点:

优点:时钟为“真正”单相位;可将逻辑功能嵌入锁存器中,减少与锁存器的相关延时。缺点:与简单动态Latch(传输门+反相器)相比,晶体管数目稍有增加;时钟使输出节点浮空(高阻态)时,易受其它信号耦合的影响;驱动传输门时输出节点会发生电荷分享。

第七章数字电路的时序问题

1.分类

在数字系统中,信号可以根据他们与本地时钟的关系来分类。只有在预先决定的时间周期上发生翻转的信号相对于系统时钟可分为同步的、中等同步的或近似同步的。反之,可以在任意时间发生翻转的信号成为异步信号。

一个同步信号具有与本地时钟完全相同的频率并与该时钟保持一个已知的固定相位差。中等同步信号不仅与本地时钟具有同样的频率,而且相对于该时钟具有未知的相位差。一个近似同步信号是一个频率与本地时钟频率名义上相同但其真正频率却稍有不同的信号。异步信号可以在任何时候随意变化,并且他们不服从任何本地时钟。

2.非理想时钟引起的问题

时钟偏差:

集成电路中一个时钟翻转的到达时间在空间上的差别通常称为时钟偏差。

时钟偏差是由时钟路径的静态不匹配以及时钟在负载上的差异造成的。

时钟偏差现象无论对时序系统的性能还是功能都有很大影响。正偏差能够增加电路的数据通过量,但有可能导致出错,负偏差显著提高抗竞争能力,避免出错,但会降低电路性能。

时钟抖动:

时钟抖动是指在芯片的某一个给定点上的时钟发生暂时性的变化,即时钟周期在每个不同的周期上可以缩短或加长。抖动是一个平均值为零的

t是指在某一给定位置处的一个时钟边沿相对随机变量,绝对抖动

jitter

于理想的周期性参照时钟边沿在最坏情况下的变化(绝对值)。抖动直接影响时序系统的性能,最坏情况下可用来完成操作的总时间减少了

t,降低了时序电路的性能。

2

jitter

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字集成电路复习笔记

数集复习笔记 By 潇然名词解释专项 摩尔定律:一个芯片上的晶体管数目大约每十八个月增长一倍。 传播延时:一个门的传播延时t p定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 由于一个门对上升和下降输入波形的响应时间不同,所以需定义两个传播延时。 t pLH定义为这个门的输出由低至高翻转的响应时间,而t pHL则为输出由高至低翻转 的响应时间。传播延时t p定义为这两个时间的平均值:t p=(t pLH+t pHL)/2。 设计规则:设计规则是指导版图掩膜设计的对几何尺寸的一组规定。它们包括图形允许的最小宽度以及在同一层和不同层上图形之间最小间距的限制与要求。定义设计规则 的目的是为了能够很容易地把一个电路概念转换成硅上的几何图形。设计规则的 作用就是电路设计者和工艺工程师之间的接口,或者说是他们之间的协议。 速度饱和效应:对于长沟MOS管,载流子满足公式:υ= -μξ(x)。公式表明载流子的速度正比于电场,且这一关系与电场强度值的大小无关。换言之,载流子的迁移率 是一个常数。然而在(水平方向)电场强度很高的情况下,载流子不再符合 这一线性模型。当沿沟道的电场达到某一临界值ξc时,载流子的速度将由于 散射效应(即载流子间的碰撞)而趋于饱和。 时钟抖动:在芯片的某一个给定点上时钟周期发生暂时的变化,即时钟周期在每个不同的周期上可以缩短或加长。 逻辑综合:逻辑综合的任务是产生一个逻辑级模型的结构描述。这一模型可以用许多不同的方式来说明,如状态转移图、状态图、电路图、布尔表达式、真值表或HDL描 述。 噪声容限:为了使一个门的稳定性较好并且对噪声干扰不敏感,应当使“0”和“1”的区间越大越好。一个门对噪声的灵敏度是由低电平噪声容限NM L和高电平噪声容限 NM H来度量的,它们分别量化了合法的“0”和“1”的范围,并确定了噪声的 最大固定阈值: NM L =V IL - V OL NM H =V OH - V IH

天津大学传感器复习重点

天津大学传感器复习重点-适用于机械工业出版社唐文彦主编版本绪论 传感器定义:能够感受规定的测量量,并且按照一定的规律转换成可用输出信号的器件和装置 一、静特性指标 当输入量为常量,或变化极慢时,输出与输入之间的关系称为静特性 二、传感器组成P1 敏感元件、转换元件、基本转换电路 1、敏感元件:直接感受被测量,输出与被测量成确定关系的某一物理量输出元件。 2、转换元件:敏感元件的输出就是它的输入,他把输入转换成电路参量。 3、基本转换电路电路参数变化量接入基本转换电路,便可以转化成电量输出。传感器只需要完成被测参数到电量的基本转换,然后输入测控电路即可。 三、稳定性指标及其含义P7 1、线性度:在采用直线拟合线性化时,输出输入的校正曲线与其拟合曲线之间的最大偏差,称为线性度,通常用相对误差来表示。 2、迟滞:传感器在正反行程中输出输入曲线不重合称为迟滞。 3、重复性:重复性是指传感器在输入按同一方向连续多次变动时所得特性曲线不一致的程度。 4、灵敏度与灵敏度误差: (1)灵敏度:传感器输出的变化量与引起该变化量的输入变化量之比称为其静态灵敏度。 (2)灵敏度误差:由于某种原因,引起灵敏度发生变化,产生灵敏度误差。用相对误差表示。 5、分辨力与阈值:

(1)分辨力:分辨力是指传感器能检测到的最小的输入增量。 (2)阀值:传感器输入零点附近的分辨力称为阈值。 6、稳定性:稳定性是指传感器在长时间工作的情况下输出量发生的变化,有时称为长时间工作稳定性或零点漂移。 7、温度稳定性:温度稳定性又称为温度漂移,是指传感器在外界温度变化下输出量发生的变化。温度稳定性误差用温度每变化若干度的绝对误差或相对误差表示。每摄氏度引起的传感器误差又称为温度误差系数。 8、抗干扰稳定性:是指传感器对外界干扰的抵抗能力,例如抗冲击和振动的能力、抗潮湿的能力、抗电磁场干扰的能力等。 9、静态误差:是指传感器在其全量程内任一点的输出值与理论值的偏离程度。 第一章电阻式传感器 Part one应变式传感器 一、工作原理 应变式传感器是利用金属的电阻应变效应,将被测物体变形转换成电阻变化的传感器。 1.什么是金属的电阻应变效应? 当金属丝在外力作用下发生机械变形时,其电阻值将发生变化,这种现象称为金属的电阻应变效应。 2.应变片有哪些优点? 灵敏度和精度高,性能稳定、可靠电阻率变化公式P22 应变片尺寸小、重量轻、结构简单、使用方便测量范围大适应性强便于多点测量、远距离测量和遥测 二、应变片的类型和材料 1.电阻应变片有哪几种形式?金属丝式应变片、金属箔式应变片、金属薄膜式应变片 2.横向效应:将直的电阻丝绕成敏感柵之后,虽然长度相同,但是应变状态不相同,其灵敏系数降低了,该现象称为横向效应。 非线性P25

XX大学图书馆临时借阅权限管理办法【模板】

天津大学图书馆临时借阅权限管理办法 1、开通范围 天津大学图书馆临时借阅权限面向校内各单位劳务派遣教职工、短期访问学者等需开通借阅权限的人员开放。 2、开通流程 申请人填写《天津大学图书馆临时借阅权限申请表》,由所在院级单位负责人审批,填写开通期限、签字盖章后,申请人将申请表 交至图书馆服务台,图书馆工作人员为其进行授权。 3、相关责任 申请人在开通临时借阅权限后,应遵守图书馆借阅规则及读者 行为规范。申请人所在的院级单位作为申请人的担保单位,应督促 其遵守图书馆规章制度,如申请人离职或离校,担保单位应督促其 还清欠书(款)。若申请人违反图书馆相关规定,其担保单位应承担相关责任。 4、账户清查 图书馆于每学期期末对所有到期临时账户清查,并进行销户。 如有逾期图书或产生欠款的情况,则向申请人单位进行催还(缴)。如申请人单位未承担其催还(缴)责任,图书馆将暂停为该单位其 他申请人开户。 5、借书权限 临时账户可借书2册,借阅期限为31天,并有1次续借机会。 部分留学生读者根据具体情况开通相应权限。

天津大学图书馆临时借阅权限申请表

备注:借书册次:2册;借书期限:31天;续借次数:1次。 天津大学图书馆借阅权限申请表Application Form for Library Service Permission (用于留学生读者Only for international student)

The specific number of borrowing books according to the type of readers in accordance with the library lending rules.

数字集成电路--电路、系统与设计(第二版)复习资料

第一章 数字集成电路介绍 第一个晶体管,Bell 实验室,1947 第一个集成电路,Jack Kilby ,德州仪器,1958 摩尔定律:1965年,Gordon Moore 预言单个芯片上晶体管的数目每18到24个月翻一番。(随时间呈指数增长) 抽象层次:器件、电路、门、功能模块和系统 抽象即在每一个设计层次上,一个复杂模块的内部细节可以被抽象化并用一个黑匣子或模型来代替。这一模型含有用来在下一层次上处理这一模块所需要的所有信息。 固定成本(非重复性费用)与销售量无关;设计所花费的时间和人工;受设计复杂性、设计技术难度以及设计人员产出率的影响;对于小批量产品,起主导作用。 可变成本 (重复性费用)与产品的产量成正比;直接用于制造产品的费用;包括产品所用部件的成本、组装费用以及测试费用。每个集成电路的成本=每个集成电路的可变成本+固定成本/产量。可变成本=(芯片成本+芯片测试成本+封装成本)/最终测试的成品率。 一个门对噪声的灵敏度是由噪声容限NM L (低电平噪声容限)和NM H (高电平噪声容限)来度量的。为使一个数字电路能工作,噪声容限应当大于零,并且越大越好。NM H = V OH - V IH NM L = V IL - V OL 再生性保证一个受干扰的信号在通过若干逻辑级后逐渐收敛回到额定电平中的一个。 一个门的VTC 应当具有一个增益绝对值大于1的过渡区(即不确定区),该过渡区以两个有效的区域为界,合法区域的增益应当小于1。 理想数字门 特性:在过渡区有无限大的增益;门的阈值位于逻辑摆幅的中点;高电平和低电平噪声容限均等于这一摆幅的一半;输入和输出阻抗分别为无穷大和零。 传播延时、上升和下降时间的定义 传播延时tp 定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 上升和下降时间定义为在波形的10%和90%之间。 对于给定的工艺和门的拓扑结构,功耗和延时的乘积一般为一常数。功耗-延时积(PDP)----门的每次开关事件所消耗的能量。 一个理想的门应当快速且几乎不消耗能量,所以最后的质量评价为。能量-延时积(EDP) = 功耗-延时积2 。 第三章、第四章CMOS 器件 手工分析模型 ()0 12' 2 min min ≥???? ??=GT DS GT D V V V V V L W K I 若+-λ ()DSAT DS GT V V V V ,,m in min = 寄生简化:当导线很短,导线的截面很大时或当 所采用的互连材料电阻率很低时,电感的影响可 以忽略:如果导线的电阻很大(例如截面很小的长 铝导线的情形);外加信号的上升和下降时间很慢。 当导线很短,导线的截面很大时或当所采用的互 连材料电阻率很低时,采用只含电容的模型。 当相邻导线间的间距很大时或当导线只在一段很短的距离上靠近在一起时:导线相互间的电容可 以被忽略,并且所有的寄生电容都可以模拟成接 地电容。 平行板电容:导线的宽度明显大于绝缘材料的厚 度。 边缘场电容:这一模型把导线电容分成两部分: 一个平板电容以及一个边缘电容,后者模拟成一 条圆柱形导线,其直径等于该导线的厚度。 多层互连结构:每条导线并不只是与接地的衬底 耦合(接地电容),而且也与处在同一层及处在相邻层上的邻近导线耦合(连线间电容)。总之,再多层互连结构中导线间的电容已成为主要因素。这一效应对于在较高互连层中的导线尤为显著,因为这些导线离衬底更远。 例4.5与4.8表格 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 例4.1 金属导线电容 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线,计算总的电容值。 平面(平行板)电容: ( 0.1×106 μm2 )×30aF/μm2 = 3pF 边缘电容: 2×( 0.1×106 μm )×40aF/μm = 8pF 总电容: 11pF 现假设第二条导线布置在第一条旁边,它们之间只相隔最小允许的距离,计算其耦合电 容。 耦合电容: C inter = ( 0.1×106 μm )×95 aF/μm2 = 9.5pF 材料选择:对于长互连线,铝是优先考虑的材料;多晶应当只用于局部互连;避免采用扩散导线;先进的工艺也提供硅化的多晶和扩散层 接触电阻:布线层之间的转接将给导线带来额外的电阻。 布线策略:尽可能地使信号线保持在同一层上并避免过多的接触或通孔;使接触孔较大可以降低接触电阻(电流集聚在实际中将限制接触孔的最大尺寸)。 采电流集聚限制R C , (最小尺寸):金属或多晶至n+、p+以及金属至多晶为 5 ~ 20 Ω ;通孔(金属至金属接触)为1 ~ 5 Ω 。 例4.2 金属线的电阻 考虑一条布置在第一层铝上的10cm 长,1μm 宽的铝线。假设铝层的薄层电阻为0.075Ω/□,计算导线的总电阻: R wire =0.075Ω/□?(0.1?106 μm)/(1μm)=7.5k Ω 例4.5 导线的集总电容模型 假设电源内阻为10k Ω的一个驱动器,用来驱动一条10cm 长,1μm 宽的Al1导线。 电压范围 集总RC 网络 分布RC 网络 0 → 50%(t p ) 0.69 RC 0.38 RC 0 → 63%(τ) RC 0.5 RC 10% → 90%(t r ) 2.2 RC 0.9 RC 0 → 90% 2.3 RC 1.0 RC 使用集总电容模型,源电阻R Driver =10 k Ω,总的集总电容C lumped =11 pF t 50% = 0.69 ? 10 k Ω ? 11pF = 76 ns t 90% = 2.2 ? 10 k Ω ? 11pF = 242 ns 例4.6 树结构网络的RC 延时 节点i 的Elmore 延时: τDi = R 1C 1 + R 1C 2 + (R 1+R 3) C 3 + (R 1+R 3) C 4 + (R 1+R 3+R i ) C i 例4.7 电阻-电容导线的时间常数 总长为L 的导线被分隔成完全相同的N 段,每段的长度为L/N 。因此每段的电阻和电容分别为rL/N 和cL/N R (= rL) 和C (= cL) 是这条导线总的集总电阻和电容()()()N N RC N N N rcL Nrc rc rc N L DN 2121 (22) 22 +=+=+++?? ? ??=τ 结论:当N 值很大时,该模型趋于分布式rc 线;一条导线的延时是它长度L 的二次函数;分布rc 线的延时是按集总RC 模型预测的延时的一半. 2 rcL 22=RC DN = τ 例4.8 铝线的RC 延时.考虑长10cm 宽、1μm 的Al1导线,使用分布RC 模型,c = 110 aF/μm 和r = 0.075 Ω/μm t p = 0.38?RC = 0.38 ? (0.075 Ω/μm) ? (110 aF/μm) ? (105 μm)2 = 31.4 ns Poly :t p = 0.38 ? (150 Ω/μm) ? (88+2?54 aF/μm) ? (105 μm)2 = 112 μs Al5: t p = 0.38 ? (0.0375 Ω/μm) ? (5.2+2?12 aF/μm) ? (105 μm)2 = 4.2 ns 例4.9 RC 与集总C 假设驱动门被模拟成一个电压源,它具有一定大小的电源内阻R s 。 应用Elmore 公式,总传播延时: τD = R s C w + (R w C w )/2 = R s C w + 0.5r w c w L 2 及 t p = 0.69 R s C w + 0.38 R w C w 其中,R w = r w L ,C w = c w L 假设一个电源内阻为1k Ω的驱动器驱动一条1μm 宽的Al1导线,此时L crit 为2.67cm 第五章CMOS 反相器 静态CMOS 的重要特性:电压摆幅等于电源电压 → 高噪声容限。逻辑电平与器件的相对尺寸无关 → 晶体管可以采用最小尺寸 → 无比逻辑。稳态时在输出和V dd 或GND 之间总存在一条具有有限电阻的通路 → 低输出阻抗 (k Ω) 。输入阻抗较高 (MOS 管的栅实际上是一个完全的绝缘体) → 稳态输入电流几乎为0。在稳态工作情况下电源线和地线之间没有直接的通路(即此时输入和输出保持不变) → 没有静态功率。传播延时是晶体管负载电容和电阻的函数。 门的响应时间是由通过电阻R p 充电电容C L (电阻R n 放电电容C L )所需要的时间决定的 。 开关阈值V M 定义为V in = V out 的点(在此区域由于V DS = V GS ,PMOS 和NMOS 总是饱和的) r 是什么:开关阈值取决于比值r ,它是PMOS 和NMOS 管相对驱动强度的比 DSATn n DSATp p DD M V k V k V V = ,r r 1r +≈ 一般希望V M = V DD /2 (可以使高低噪声容限具有相近的值),为此要求 r ≈ 1 例5.1 CMOS 反相器的开关阈值 通用0.25μm CMOS 工艺实现的一个CMOS 反相器的开关阈值处于电源电压的中点处。 所用工艺参数见表3.2。假设V DD = 2.5V ,最小尺寸器件的宽长比(W/L)n 为1.5 ()()()()()()()() V V L W V V V V k V V V V k L W L W M p DSATp Tp M DSATp p DSATn Tn M DSATn n n p 25.125.55.15.35.320.14.025.1263.043.025.10.163.01030101152266==?==----?-???----=---= 分析: V M 对于器件比值的变化相对来说是不敏感 的。将比值设为3、2.5和2,产生的V M 分别为 1.22V 、1.18V 和 1.13V ,因此使PMOS 管的宽度小于完全对称所要求的值是可以接受的。 增加PMOS 或NMOS 宽度使V M 移向V DD 或GND 。不对称的传输特性实际上在某些设计中是所希望的。 噪声容限:根据定义,V IH 和V IL 是dV out /dV in = -1(= 增益)时反相器的工作点 逐段线性近似V IH = V M - V M /g V IL = V M + (V DD - V M )/g 过渡区可以近似为一段直线,其增益等于 在开关阈值V M 处的增益g 。它与V OH 及V OL 线的交点 用来定义V IH 和V IL 。点。

#《数字集成电路设计》复习提纲

《数字集成电路设计》复习提纲(1-7章) 2011-12 1. 数字集成电路的成本包括哪几部分? ● NRE (non-recurrent engineering) costs 固定成本 ● design time and effort, mask generation ● one-time cost factor ● Recurrent costs 重复性费用或可变成本 ● silicon processing, packaging, test ● proportional to volume ● proportional to chip area 2. 数字门的传播延时是如何定义的? 一个门的传播延时tp 定义了它对输入端信号变化的响应有多快。 3. 集成电路的设计规则(design rule)有什么作用? ? Interface between designer and process engineer ? Guidelines for constructing process masks ? Unit dimension: Minimum line width ? scalable design rules: lambda parameter (可伸缩设计规则,其不足:只能在有限 的尺寸范围内进行。) ? absolute dimensions (micron rules,用绝对尺寸来表示。) 4. 什么是MOS 晶体管的体效应? 5. 写出一个NMOS 晶体管处于截止区、线性区、饱和区的判断条件,以及各工作区的源漏电流表达式(考虑短沟效应即沟道长度调制效应,不考虑速度饱和效应) 注:NMOS 晶体管的栅、源、漏、衬底分别用G 、S 、D 、B 表示。 6. MOS 晶体管的本征电容有哪些来源? 7. 对于一个CMOS 反相器的电压传输特性,请标出A 、B 、C 三点处NMOS 管和PMOS 管各自处于什么工作区? V DD 8. 在CMOS 反相器中,NMOS 管的平均导通电阻为R eqn ,PMOS 管的平均导通电阻为R eqp ,请写出该反相器的总传播延时定义。 9. 减小一个数字门的延迟的方法有哪些?列出三种,并解释可能存在的弊端。 ? Keep capacitances small (减小CL ) ? Increase transistor sizes(增加W/L) ? watch out for self-loading! (会增加CL ) ? Increase VDD (????) V out V in 0.5 11.522.5

天津大学数字集成电路考点

第一章 导线 1. 集成电路的导线已经形成复杂的几何形体,引起电容、电阻和电感等寄生参数 效应。 ? 会使传播延时增加,性能下降 ? 会影响功率和能耗的分布 ? 会引起额外的噪声来源,影响电路的可靠性 2. 树结构的RC 网络 ? 该电路只有一个输入点(s) ? 所有的电容都在某个节点与地之间 ? 该电路不包括任何电阻回路(形成树结构) 路径电阻:从源节点s 到任何节点i 之间存在唯一的电阻路径,其总电阻称为路径电阻ii R 。 1([()])i ii j j j R R R path s i ==?∈→∑ 共享路径电阻表示从个节点到i 及k 两个节点的路径中共享部分的总电阻ik R 。 1([()()])i ik j j j R R R path s i path s k ==?∈→?→∑ 艾尔默(Elmore)延时: 1N Di i ik k C R τ==∑ 无分支RC 链,即梯形链的艾尔默延时:用路径电阻替换共享路径电阻 1N DN i ii i C R τ==∑ 3. 导线RC 延时模型 理想导线:没有任何附加参数或寄生元件的简单连线。导线一端的变化会立刻传递到另一端;导线是一个等势区。 集总式RC 模型:导线的电阻部分很小,并且开关频率在低至中间范围;把分布的电容集总为单个电容。RC τ= 分布式RC 模型:导线寄生参数沿导线长度分布;导线寄生参数沿导线长度分布。222 DN RC rcL τ== T 模型和π 模型的艾尔默延时计算。 T2,T3,Pi3模型的延时

第二章CMOS反相器1.CMOS静态特性 1)输出摆幅等于电源电压即高电平为VDD,低电平为GND, 噪声容限大; 2)逻辑电平与器件尺寸无关,所以晶体管可以采用最小尺寸, 属于无比例逻辑; 3)稳态时输出与VDD或者GND之间总存在一条有限的电阻通 路; 4)输入阻抗很高,理论上,单个反相器可以驱动无数个门; 5)稳态时候,电源和地之间没有直接的通路,没有电流存在 (忽略漏电流),即该门电路不消耗任何静态功耗。 2.VTC,参数 开关阈值 M V : 定义为 in out V V =的点(PMOS和NMOS都处 于饱和区)。 1 DD M rV V r = + ,开关阈值取决于r,它是PMOS与NMOS 的相对驱动强度比。 M V相对与器件尺寸比值不敏感。增大Wp和 Wn使 M V分别移向VDD和GND。 噪声容限:已知 IH V和 IL V是1 out in dV dV =-时的点,噪声容限H NM和 L NM定义为 H DD IH NM V V =-, L IL NM V GND =-。 器件参数对VTC的影响: 1)工艺的不确定引起开关阈值的平移,好PMOS差NMOS右移, 好NMOS差PMOS左移。 2)降低电源电压,工作在亚阈值。

2018天津大学电气自动化与信息工程学院考研复试通知复试时间复试分数线复试经验

2018天津大学电气自动化与信息工程学院考研复试通知复试时间复 试分数线复试经验 启道考研网快讯:2018年考研复试即将开始,启道教育小编根据根据考生需要,整理2017年天津大学电气自动化与信息工程学院考研复试细则,仅供参考: 一、复试科目(启道考研复试辅导班)

二、复试通知(启道考研复试辅导班) (一)资格审查 所有列入学科、专业复试名单的考生,均须通过网上交费平台及时缴费(支付宝账号),复试费标准为90元。完成缴费后,考生可适时在网上下载打印《复试资格审查合格单》,并持《复试资格审查合格单》及准考证、身份证原件、毕业证原件(应届本科生持学生证)进行资格审查。参加复试前,考生将加盖好印戳的《复试资格审查合格单》交学院复试集中地点老师审验。 综合面试环节由学院审验成绩单(往届生若无原件需复印件加盖档案所在单位人事部门公章,应届生加盖所在学校教务部门公章);《复试资格审查合格单》复试后,交学院复试集中地点老师审验。 (二)复试内容 复试内容包括专业能力考核、综合素质考核两部分。专业能力考核包括外语听说能力测试和专业课笔试;综合素质考核包括实验(实践)能力测试和综合面试。 所有达到我院复试线全日制(信息与通信工程、电子与通信工程专业除外)的考生需登陆我院网址,进行网上选择“复试的方向及复试的笔试科目”;对于非全日制(电气工程)专业考生需填写纸质版的“复试的方向及复试的笔试科目”选择表,否则都无法参加复试。 复试安排如下:

1.专业能力考核: (1)外语听说能力测试采用面试方式进行,面试时间一般不少于10分钟。听说能力测试内容一般包括公共外语和专业外语。 (2)专业课笔试主要测试学生专业素质和专业能力,考试时间一般为90分钟,笔试科目按照考生报考专业所规定的科目进行。调剂考生的专业课笔试科目由我院确定。 2.综合素质考核: (1)实验(实践)能力测试,采用笔试或操作方式进行。 (2)综合面试由参加复试的老师直接提问或由考生当场抽题作答。考核内容应涉及考生思想政治素质和道德品质考核,考生的创新精神和创新能力考核,考生理论知识和应用技能掌握程度、利用所学理论发现、分析和解决问题的能力考核,考生对报考专业发展动态了解以及在本专业发展潜力考核;考生的科研和社会工作能力、实践经历考核,考生的事业心、责任感、协作性和心理素质以及举止礼仪和表达能力考核等。 对于综合面试,各专业复试小组可根据学科自身特色制定更加详细的面试方案。每位考生的面试时间一般不少于20分钟。综合面试由各专业复试小组负责考核,面试成绩由每位复试小组成员独立给出分数,然后取算术平均值得出。 (3)心理素质测试。 所有考生进行网上心理测试,测试结果仅供参考,不计入复试成绩。 (三)复试规则 1.复试比例及名单 由学院按学科门类(领域、专业)确定复试分数线。考生初试成绩不低于学院学科门类(领域、专业)复试分数线即为合格生源,只有合格生源才能进入复试考生名单。复试工作由学院组织,并通过学院网站通知考生参加复试。 学院在复试分数线基础上,将考生按照初试成绩总分由高到低进行排名,确定本学院各学科、专业复试考生名单,复试考生人数与招生规模(招生计划数减去推荐免试生数)比例不低于120%。对合格生源不足120%的学科、专业按实际合格考生名单组织复试。

数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路 或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦 之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

天津大学计算机基础知识(完整版带答案)

第1章计算机基础知识 1.提出“存储程序和程序控制”的计算机科学家是·诺依曼。 2.电子计算机的发展通常以构成计算机的电子器件的不断更新为标志,第一代 计算机的电子器件是电子管、第二代计算机的电子器件是晶体管、第三代计算机的电子器件是中、小规模集成电路、第四代计算机的电子器件是大规模和超大规模集成电路。 3.世界首台电子计算机是ENIAC ,它所使用的电子器件是电子管。 4.一般可将计算机分为巨型机、大型机、中型机、小型机、微型机。 5.计算机主要朝着巨型化、微型化、网络化、智能化方面发展。 6.目前微型计算机采用的逻辑元件是大规模和超大规模集成电路,其主要技术 指标是字长、主频、运算速度、存容量。 7.计算机最早的应用领域是科学计算、计算机最广泛的应用领域是信息处理。 8.计算机辅助设计的简称是CAD 、计算机辅助制造的简称是 CAM 、计算机辅助教学的简称是CAI 、计算机辅助学习的简称是CAL 。 9.计算机应用常所讲OA其代表办公自动化。 10.在计算机部,一切数据和指令均采用二进制表示。 11.十进制67.625所对应的二进制数是1000011.101B 。 12.十进制168所对应的二进制数是10101000B ,八进制数是250Q 。 13.(1101) 2 +(1011) 2 =(11000 )2、(1010) 2-(101) 2 =(101 )2 (11001) 2÷(101) 2 =(101 )2、(1011) 2×(101) 2 =(110111 )2 14.二进制数1110∨1101的结果是1111 、二进制数1110∧1101的结果是 1100 。 15.若要将一个8位二进制数的最高位和最低位清零、其他位不变,可以将该数和二进 制数01111110 进行“与”运算。 16.在计算机中,定点数分为定点小数和定点整数。 17.在计算机中,一个浮点数由尾数和阶构成,决定围是阶, 决定精度的是尾数。 18.计算机的字长是8位,则二进制数-101100的补码为11010100B 。 计算机的字长是8位,则二进制数-1001100的补码为10110100B 。 计算机的字长是8位,则二进制数-110110的补码为11001010B 。 计算机的字长是8位,则补码10011010B的原码为11100110B 。 19.在计算机中,采用8位补码其表示围为-128-+127 ,采用8位无符号数其表示围 为0-255 。 20.在计算机中,采用16位补码其表示围为-32768-+32767 ,采用16位无符号数其 表示围为0-65535 。 21.7位版本的ASCⅡ码共有128 个编码,其中包含10 个阿拉伯数字,52

数字集成电路复习指南..

1. 集成电路是指通过一系列特定的加工工艺,将晶体管、二极管、MOS管等有源器件和阻、电容、电感等无源器件,按一定电路互连,“集成”在一块半导体晶片(硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的一种器件。 2.集成电路的规模大小是以它所包含的晶体管数目或等效的逻辑门数目来衡量。等效逻辑门通常是指两输入与非门,对于CMOS集成电路来说,一个两输入与非门由四个晶体管组成,因此一个CMOS电路的晶体管数除以四,就可以得到该电路的等效逻辑门的数目,以此确定一个集成电路的集成度。 3.摩尔定律”其主要内容如下: 集成电路的集成度每18个月翻一番/每三年翻两番。 摩尔分析了集成电路迅速发展的原因, 他指出集成度的提高主要是三方面的贡献: (1)特征尺寸不断缩小,大约每3年缩小1.41倍; (2)芯片面积不断增大,大约每3年增大1.5倍; (3)器件和电路结构的改进。 4.反标注是指将版图参数提取得到的分布电阻和分布电容迭加到相对应节点的参数上去,实际上是修改了对应节点的参数值。 5.CMOS反相器的直流噪声容限:为了反映逻辑电路的抗干扰能力,引入了直流噪声容限作为电路性能参数。直流噪声容限反映了电流能承受的实际输入电平与理想逻辑电平的偏离范围。 6. 根据实际工作确定所允许的最低输出高电平,它所对应的输入电平定义为关门电平;给定允许的最高输出低电平,它所对应的输入电平为开门电平 7. 单位增益点. 在增益为0和增益很大的输入电平的区域之间必然存在单位增益点,即dV out/dVin=1的点 8. “闩锁”现象 在正常工作状态下,PNPN四层结构之间的电压不会超过Vtg,因 此它处于截止状态。但在一定的外界因素触发下,例如由电源或 输出端引入一个大的脉冲干扰,或受r射线的瞬态辐照,使 PNPN四层结构之间的电压瞬间超过Vtg,这时,该寄生结构中就 会出现很大的导通电流。只要外部信号源或者Vdd和Vss能够提供 大于维持电流Ih的输出,即使外界干扰信号已经消失,在PNPN四 层结构之间的导通电流仍然会维持,这就是所谓的“闩锁”现象 9. 延迟时间: T pdo ——晶体管本征延迟时间; UL ——最大逻辑摆幅,即最大电源电压; Cg ——扇出栅电容(负载电容); Cw ——内连线电容; Ip ——晶体管峰值电流。

天津大学本科教材书目

计算机软件: 微型计算机技术基础冯博琴高教版IBM_PC微机原理及接口技术西交大版计算机硬件技术基础/朱卫东/高教 数字逻辑电路刘常澍国防 数字系统逻辑设计技术刘锡海天大 计算机组织与结构-性能设计(5)电子工业 计算机图形学(3)清华大学出版社,数据库系统概念(4)高等教育出版社软件工程(英文8版)机械工业出版社计算机网络高等教育出版社, C++程序设计(2)高教, 软件需求管理用例方法(英文2版机械工业版 实时系统高教, SQLSERVER2000与https://www.360docs.net/doc/1d6208725.html,编程清华版IT项目管理机械, 数据库算法与应用(C++语言描述)机械,现代操作系统(英文2版)机械, 人工智能机械, 信息技术与应用导论(7 高教, 系统分析与设计方法(5)高教,结构化计算机组成(英文4版)机械,IBM-PC汇编语言程序设计(5)清华, 微型计算机原理(2)电子工业出版社,微型计算机技术与应用(3)清华, 信息论与编码基础机械, 计算机硬件技术基础高教, VB6.X程序设计铁道, IBMPC微机原理及接口技术西交大, 面向对象与传统软件工程(5)机械, 计算机软件测试(2)机械, 计算机组成原理天大, 编译原理吕映芝清华, 微型计算机接口技术及应用华中科技大学计算机导论袁方清华, VB程序设计教程周霭如清华, 微型计算机接口技术张弥左机械,LINUx操作系统, 计算机组成结构化方法(英文5版)机械,微型计算机嵌入式系统设计西安电子科大数字图像处理(2)电子工业, 编译技术(2)东南大学, 软件人员沟通(上中下), 统计自然语言处理基础 机械: 精密机械设计庞振基机械, 机械设计基础(多学时), 燃气轮机与涡轮增压内燃及原理与应用, 工厂动力机械 热能与动力机械测试技术 热能与动力机械制造工艺学 热能与动力机械基础 液压传动与控制 机械基础 机械工程测试技术基础 计算机辅助设计与制造 机械制造装备及其设计 现代设计方法 机械设计基础(少学时) 控制工程基础 工程材料及成型技术基础 动力控制工程 供热工程 热力发电厂 电站锅炉原理力学: 材料力学天大赵志岗, 土力学原理天大王成华, 结构力学高教李家宝, 水力学中国建筑出版社高学平, 理论力学(中、多学时)机械贾启芬,液体力学(2)高教张也影, 工程流体力学高教陈卓如, 水力学同济大学出版社柯葵, 弹性力学(3)徐芝纶高教, 结构力学(下)天大刘昭培, 材料力学天大苏翼林 材料: 无机材料性能清华关振铎 材料物理性能天大郑义, 材料科学基础天大靳正国, 材料分析方法天大杜希文, 金属工艺学(上、下)高教邓文英,计算机在材料科学中的应用机械许欣华,材料科学基础上海交大胡赓祥, 无机非金属材料专业实验天大曲远方,

数字集成电路复习笔记

数集复习笔记 By 潇然 2018.6.29 名词解释专项 摩尔定律:一个芯片上的晶体管数目大约每十八个月增长一倍。 传播延时:一个门的传播延时t p定义了它对输入端信号变化的响应有多快。它表示一个信号通过一个门时所经历的延时,定义为输入和输出波形的50%翻转点之间的时间。 由于一个门对上升和下降输入波形的响应时间不同,所以需定义两个传播延时。 t pLH定义为这个门的输出由低至高翻转的响应时间,而t pHL则为输出由高至低翻转 的响应时间。传播延时t p定义为这两个时间的平均值:t p=(t pLH+t pHL)/2。 设计规则:设计规则是指导版图掩膜设计的对几何尺寸的一组规定。它们包括图形允许的最小宽度以及在同一层和不同层上图形之间最小间距的限制与要求。定义设计规则 的目的是为了能够很容易地把一个电路概念转换成硅上的几何图形。设计规则的 作用就是电路设计者和工艺工程师之间的接口,或者说是他们之间的协议。 速度饱和效应:对于长沟MOS管,载流子满足公式:υ = -μξ(x)。公式表明载流子的速度正比于电场,且这一关系与电场强度值的大小无关。换言之,载流子的迁 移率是一个常数。然而在(水平方向)电场强度很高的情况下,载流子不再 符合这一线性模型。当沿沟道的电场达到某一临界值ξc时,载流子的速度 将由于散射效应(即载流子间的碰撞)而趋于饱和。 时钟抖动:在芯片的某一个给定点上时钟周期发生暂时的变化,即时钟周期在每个不同的周期上可以缩短或加长。 逻辑综合:逻辑综合的任务是产生一个逻辑级模型的结构描述。这一模型可以用许多不同的方式来说明,如状态转移图、状态图、电路图、布尔表达式、真值表或HDL描述。噪声容限:为了使一个门的稳定性较好并且对噪声干扰不敏感,应当使“0”和“1”的区间越大越好。一个门对噪声的灵敏度是由低电平噪声容限NM L和高电平噪声容限NM H 来度量的,它们分别量化了合法的“0”和“1”的范围,并确定了噪声的最大固 定阈值: NM L =V IL - V OL NM H =V OH - V IH

北大信科通信复试经验

欢迎报考北大信科通信 请叫我师兄 既有前语,必有后行。我说过会写优质的经验贴,虽然现在依然十分忙碌,可是也要按照约定在三月底之前把它奉献出来。现在就从李健的天狐开始,记叙我的考研之旅。 我将分这么几个主题来展开这篇文章,他们是:为何是北大;为何是信科通信;我是谁;信科通信的考研历史情况;如何准备初试;如何准备复试;寄语。我的经验贴权且当做某一条路的参考,希望后来者能站在前人的基础上,取得更好的成绩。 为何是北大。北大就是北大,北大一直处于历史与时代的风口浪尖,一直是中国大学的引领者与先行者。简单地说,因为“今日的北大,学科齐全,大师云集;文理医工,交融并蓄;学术资源,得天独厚。”在北大,就意味着站在一个可以摘星探月的平台上,让你尽力发挥所长;在北大,你会发现只要欣赏同辈人,就足够让你惊叹不已,啧啧称奇。 为何是信科通信。首先,当然是自己的兴趣所在嘛,这个毋庸多言。其次,说说信科通信的地位。信息科学是当今迅猛生长、广为需求的学科。信息科学是时代的宠儿,现在就是信息科学从未有过的美好时代。而通信,是永远没有夕阳的,就业明朗。信科院的通信与信息系统(081001)专业是国家重点学科,师资力量雄厚,学科实力不容置疑。初步调查就会发现,那里有着“最精彩的老师和同学。” 我是谁。今年考研成绩专业课是111分,排名第二,初试总分比第一名少一分,从研究生院公开的信息里可以查到我的全部情况。我属于三跨考生,本科在中国第一所现代大学——天津大学学习光电信息科学与工程,这是精密仪器与光电子工程学院的一个专业。虽说本科时学习的是光电机算交叉性知识,然而却是以光为主,电机算为辅,因此直接对口的研究生专业是光学工程。在本科时,我虽说读了很多哲史社传文方面的书籍,对我个人的人文修养提升比较大。然而与此相为映衬的是:专业课的学习却是差强人意。这样的结果就是推免时处在较为尴尬的位置,虽然一定可以留下来,也一定可以选个不错的外校。然而,这都不是我想要的。我仰望星空,仰望北大,因此我决定脚踏实地、身体力行地走过去。 信科通信的考研历史情况。从招生简章可以看到,信科通信每年的招生名额是23个人,拟接收推免生百人之八十五到百分之九十五。推免的名单大家可以在信科网直接查到。而每年的报录比这些东西,不知道是从什么时候开始,北大已经在研究生网挂出所有报考者的信息,凡能上网者都能看到,因此大家自己去看就一目了然。自己只要在研究生网,信科网上反复对比,就可以得到最为权威并且准确的统计数据。我在这里说一下从11年到15年的情况,这个是最具参考 实际上的情况比这冰冷的数字要乐观不少。首先,这里是存在调剂的可能的;其

相关文档
最新文档