高速PCB设计心得

高速PCB设计心得
高速PCB设计心得

一:前言

随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。

●电源在系统设计中的重要性

●不同传输线路的设计规则

●电磁干扰的产生以及避免措施

二:电源的完整性

1.供电电压的压降问题。

随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V 的压降都是不允许的,比如说ADI公司的TS201内核电压只有

1.2V,内核供电电流要

2.68A,如果路径上有0.1欧姆的电阻,电

压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。

a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。

b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。

(表1)

1 oz.铜即35微M厚,

2 oz.70微M, 类推

举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,

那查表可知,最大安全电流是4.0A 。

2.同步开关噪声的问题。

同步开关噪声(SimultaneousSwitchNoise,简称SSN)是指当器件处于开关状态,产生瞬间变化的电流(di/dt),在经过回流途径上存在的电感时,形成交流压降,从而引起噪声,所以也称为Δi噪声。开关速度越快,瞬间电流变化越显著,电流回路上的电感越大,则产生的SSN越严重。基本公式为:

VSSN=N·LLoop·(dI/dt) 公式1。

其中I指单个开关输出的电流,N是同时开关的驱动端数目,LLoop为整个回流路径上的电感,而VSSN就是同步开关噪声的大小。

如果是由于封装电感而引起地平面的波动,造成芯片地和系统地不一致,芯片的地被抬高这种现象我们称为地弹(Groundbounce)。同样,如果是由于封装电感引起的芯片和系统电源被降低,就称为电源反弹(PowerBounce)。如果芯片内部多个驱动同时开关时,会造成很大的芯片电源电压的压降和地平面的抬高,从而造成芯片的驱动能力的降低,电路速度会减慢。由公式1可知减小回路电感可以减小VSSN,其中回路电感包括芯片管脚的寄生电感,芯片内部电源和芯片内部地的电感,系统的电源和地的电感,以及信号线自身的电感,这四部分组成。所以见小VSSN的办法主要有以下几种方式。

a :降低芯片内部驱动器的开关速率和同时开关的数目,以减小di/dt,不过这种方式不现实,因为电路设计的方向就是更快,更密。

b :降低系统供给电源的电感,高速电路设计中要求使用单独

的电源层,并让电源层和地平面尽量接近。

c :降低芯片封装中的电源和地管脚的电感,比如增加电源/地

的管脚数目,减短引线长度,尽可能采用大面积铺铜。

d :增加电源和地的互相耦合电感也可以减小回路总的电感,

因此要让电源和地的管脚成对分布,并尽量靠近。

3. 地的分割原则

任何一根信号线中的电流都要通过和它临近的地平面来回到它的驱动端,所以我们进行地的分割的时候要避免避免割断高速信号的回留路径,如下图3所示:

(图3)

上面的信号回路的电流不得不绕过分割槽,这样会产生很多相关的EMI问题,以及会给信号线的阻抗匹配产生影响。

三:不同传输线路的设计规则

根据信号线所处印制版中的层叠位置可以将信号线分为微带线和带状线,其中微带线是指在PCB的表层所走的线,有一层介质和它相临,信号传输速度较带状线要快,带状线在PCB的内层,有两层介质相临,信号传输速度比微带线要慢,但是EMI,EMC以及串扰等性能要好的多,所以建议高速信号都走成带状线。

根据信号线传输信号的方式最常见的有两种方式包括单端线和差分线。其中影响单端线传输性能的包括信号的反射和串扰。差分线虽然噪声免疫,但对阻抗控制,差分对间的线长要有严格的控制。下面分别对影响单端线和差分线性能的因素进行一下分析。

1.单端线反射的形成以及消除办法

我们知道如果源端的阻抗和终端的阻抗相匹配那么信号的功率将会是最大,如果终端和源端阻抗不匹配则将会引起信号的反射,部分信号还会辐射出去造成EMI问题。

(图4)

那么什么时候反射不用考虑,什么时候不得不考虑呢?如图4

所示,假设信号从源端由高电平变为低电平传输出去,信号传输延时为Tp,(有的文档将沿跳变时间<=四分之一Tp做为把信号线看成微波中传输线的条件)如果2Tp小于信号沿的跳边时间的话,反射因素就不用考虑,因为不会影响电平的判断,只会使沿的跳变不规则。相反的如果2Tp大于信号沿跳变的时间,那么反射会在发射端形成振铃现象,会影响到电平的判断,所以要考虑影响。信号线在介质中的传输速度为:

公式2

公式2为信号线为带状线时的传输公式。当信号线为微带线时,传输的介电常数的计算公式为:

公式3

如果信号线过长则反射因素就不得不考虑。解决的办法可以在线上串一个小欧姆阻值的电阻,还可以并一个小容值的电容,不过这种方法不太现实。图5为串联电阻之前的波形,图6为串联电阻之后的波形。

2.影响信号间串扰的因素及解决办法。

串扰是信号传输中常见的问题,有些说法只要控制间距是线宽的3倍就可以了,也就是常说的3W原则,这种说法只是说间距越大越好,但还是不够全面。

(图7)

由图7可知除了和线间距D有关,还和走线层和参考平面的高度H 有关。D越大越好,H越小越好。随着PCB的密度越来越高,有时候不能满足3W原则,这就要根据系统的实际情况,看多大的串扰能够忍受,另外由于工艺的原因H也不能太小,一般都不要小于5mil。图8和图9为调整线间距和H前后的对比。

3.差分线阻抗匹配和走线应注意事项

现今LVDS走线越来越流行,主要原因是因为它是采用一对线对一个信号进行传输,其中一根上传输正信号,另一根上传输相反的电平,在接收端相减,这样可以把走线上的共模噪声消除。另外就是因为它的低功耗,LVDS一般都采用电流驱动,电压幅度才350mvpp。当然它也有缺点就是需要2倍宽度的走线数来传输数据。

差分线一般传输信号的速度都比较快,所以要进行严格的阻抗控制,一般都控制在100欧姆。下图10为一个差分传输模型,其中Z11和Z22分别为两跟信号线的特性阻抗,K为另外一跟线对自己的耦合系数。I为线上的电流。

图10

1线上任意一点的电压为V1=Z11*i1+Z11*i1*K

2线上任意一点的电压为V2=Z22*i2+Z22*i2*K因为Z11=Z22=Z0,i1=-i2,所以V1和V2大小相等方向相反。所以差分阻抗为

Zdiff=2*Z0*(1-K)公式4

由公式4可知差分阻抗不仅和单跟线的特性阻抗Z0有关,还和耦合系数K有关,所以调整线宽,间距,介电常数,电介质厚度,都会影响到差分阻抗。

另外差分线大多应用在源同步时钟系统当中,这就要求数据线和时钟线的长度要匹配,类外由差分线自身的特性要求一对之间的两跟线要匹配。下图11为等长的理想的差分线在接收端的情形。可以看到两跟线完全等延时,再相减之后不会出现误码。而图12为其中一跟线的延时比另一跟要长的情形,这样再相减误码很容易产生。

图11

图12

由于布线工具和器件本身以及工艺的原因很难做到没一对线和对与对之间的线都匹配,至于相差多少合适,并没有严格的公式,即使有也要具体情况具体分析,不可能都使用。根据以往的调试经验当信号工作在500MHZ~~800MHZ之间时,对内相差80mil,对间和时钟相差+-250mil,不会出现问题。(仅做参考)。

四:电磁干扰的产生及避免措施

EMI即电磁辐射是很常见的问题,主要减少电磁辐射的办法有以下几种方法:

a :屏蔽。在比较敏感或高速的信号周围用地平面进行屏蔽,每格1000mil打一个地孔。

b :避免或减小信号的环路面积。由电磁场理论可知变化的电场产生变化的磁场,当开关频率很高的时候,会由环路向外辐射电磁能量,也容易接收外面的磁场,就象是一个天线,所以应该尽量避免。

c :做好电源的滤波。滤波的器件主要包括磁珠和电容。磁珠类似带通滤波器,可以抑制高频,选择不同容值的电容可以针对不同频率的滤波起到旁路作用。

五:归纳总结

随着PCB密度,速度的提高,以及工艺方面的限制,信号完整性问题,以及电磁兼容问题会越来越突出,但只要我们依据一定的设计准则,通过一些仿真软件比如说Hyperlynx,还是可以把高速设计问题很好的解决。

pcb设计心得体会范文

pcb设计心得体会范文 一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训pcb电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用pcbediter 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,

才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变少了,而且元器件的接点的线都很少很长了,这样就方便后面的布线了。所以说,布局那是相当的重要啊,先考虑局部,然后再考虑整体。布局步好后,布线就很快了,也没有花多少时间布局,步好后,看了下,还是感觉蛮好的,再没有布电源和地线的情况下,总共打了21个孔,总之,布线的图看起还是蛮自

pcb设计心得体会范文

pcb设计心得体会范文 篇一:PCB电路板设计总结 经过五天的PCB电路板训练,通过对软件的使用,以及实际电路板的设计,对电路板有了更深的认识,知道了电路板的相关知识和实际工作原理。同时也感受到了电路板的强大能力,怪不得现在的电路都是采用集成的电路板电路,因为它实在是有太多的好处,节约空间,方便接线,能大大缩小电路的体积。方便人类小型电器的发明。但是电路板也有一定缺陷,就是太小了,散热不是特别好,这就使得器件的性能不能像想象中那么好。 通过使用,不得不说cadence软件确实很好用,功能太强大,而且也很方便使用,接线,布线,绘制电路板等,很方便使用,不过有一点就是,器件接线的时候不能直接把器件接到导线上,这点不够人性化。虽然说,软件学了五天时间,不过对软件使用还不是能完全掌握,只能掌握一些基本操作,对更深层的有些就不是很了解了。但是时间有限,只有一个星期实训PCB电路板,老师能教给我们的也只有这么多了,剩下的只有靠我们自己回去自己学习了,作为电子工程系的一名学生,深知掌握这些装也软件的重要性,因为以后我们从事的技术工作需要这些软件工具。 第一天搭接电路,还比较简单,只是有点麻烦,电路搭接好后就要开始封装各个元器件的封装,这就需要很大的耐心,一个一个元器件的进行封装,还不能弄错,不然后面就生成不了报表,生成不了报

表,后面进行电路板设计的时候就会导入错误,以致不能进行电路板设计。后面用PCB Editer 进行设计电路板设计要导入报表,然后才能开始布局和布线,由于导入的库文件里面没有sop8和sop28两个焊盘的封装,因此在进行设计电路板之前,要先设计那两个器件的焊盘的封装,然后导入库函数,才能导入报表的时候不会报错。不过导入的时候也遇到了一些问题,会提示二极管的管脚不匹配,譬如多一个2脚,少一个3角,然后就觉得很神奇,二极管就只有两个管脚怎么会有3脚了。后面通过老师的讲解,才明白,原来设计电路板的时候只认封装,不认元器件,是根据封装导入元器件,因此在设计封装的时候,管脚是怎么设计,在原理图里面就要把元器件的管脚改成和封装一样,后面把原理图的管脚改成和导入库函数里面的封装一样,提示就没有了,不过后面又遇到一些小问题,譬如说,下划线写成横线了,然后就有报错,找不到元器件的封装。这给我警示,在原理图的时候,要仔细认真的把管脚封装写对,最然会很麻烦。后面导入报表,开始设计电路板,先开始是布局,大致步好后,然后就开始用软件自带的自动布线,结果发现有很多蝴蝶结,为什么要自动布线,因为最开始我认为如果自动布线可以的话,那手动布线肯定也可以,结果后面一直自动布线不成功。后面老师讲解,才知道,不一定要自动布线成功才能手动布线,浪费了好多时间,以至于后面都要重新排,因为最开始没有把原理图的元器件分块布局,完全是凭感觉乱布局的,后面就是一大片密密麻麻的线,而且很多元器件接点的线都有点长。后面按块先布局,然后再整体布局,然后再微小变动,这样,线明显变

高速PCB设计指南

高速PCB设计指南 第一篇 PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理

既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个

干货-PCB设计经验总结-精

干货-PCB设计经验总结 随着新能源汽车的发展,汽车电气化越来越严重,相关的EMC问题也越来越突出,因此为了从根本上降低EMC的风险,需要从设计阶段尤其是PCB layout 入手,来防患于未然。下面是一位从业十余年的硬件工程师的经验笔记! 如觉得有帮助欢迎支持转发分享给更多需要的人! 叠层: 1.电源和地的平面尽可能近(利于电源噪声高频滤波) 2.信号层:避免两信号层相邻(如果必须相邻,加大两层间距); 3.电源层:避免两电源层相邻; 4.外层:铺地; 布线: 5.关键信号线:避免跨分割(参考平面); 6.关键信号线:“换层不换面(参考平面)”; 7.关键信号线:长度尽可能短; 8.关键信号线:位置远离PCB板边缘及接口; 9.信号线:不能跨越分割间隙布线(否则电磁辐射及信号串扰剧增);

10.信号线:换层(返回路径)必须跨分割时,须使用过孔或滤波电容(10nf); 11.总线:相同功能的并行布置,中间勿参杂其他信号; 12.接收发送信号:分开布线,勿交叉; 13.高速信号线:走线宽度勿突变; 14.电源:电源线不要形成环路(近似包裹的环路) 15.地:地线不要形成环路(近似包裹的环路); 16.地:干扰源的地勿与信号地就近共用(晶振等干扰源的地不干净); 17.地:多芯片并排共电源与地时,电源与地的主线路宜在芯片同侧(回流面积小); 18.分割:模拟地与数字地分割布线,建立“地连接桥”,如有必要进行磁珠滤波; 19.分割:电源/地平面分割需合理(否则高速信号存在EMI、EMC风险); 20.拐角走线:优选45度(降低拐角对走线阻抗影响) 21.拐角走线:长度越长越好(降低拐角对走线阻抗影响) 22.拐角走线:过孔处上下走线拐角要求同上; 23.高频干扰源:下方禁止布线(晶振、开关电源等干扰源); 24.高频干扰源:附近尽量避免布电源主路线(晶振、开关电源等干扰源); 25.接插件:下方禁止布线; 电源滤波: 26.滤波区域为原理信号区域(降低耦合); 27.高频滤波电容需靠近电源PIN脚(容值越小越近);

高速PCB设计指南

高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和

PCB设计总结

PCB设计总结 、概述 PCB是一个连接电子元器件的载体。PCB设计是一个把原理设计上的电气连接变成实实在 在的,可用的线路连接。简单的PCB设计就是将器件的管脚按照一定的需要连通,但对于 高速,高密度的PCB设计,涉及到很多的方面,包括结构方面,信号完整性,EMC,EMI, 电源设计,加工工艺方面等等。 、布局 1材料 PCB材料很多,我们目前使用的基本都是FR4的,TG参数(高耐热性)是一个很重要的指 标,一般结构工程师会在他们提供的cutout里面给出TG参数的要求。 2合理的层数安排 一块板PCB层数多少合适,要基于生产成本和信号质量需求两方面考虑。对于速度低,密度小的板块,可以考虑层数少些,对于高速,高密度板,要尽可能多的安排完整的电地层,以保证较好的信号质量。 3电源层和地层 3.1、电源层和地层的作用和区别 电源层和地层都可以作为参考平面,在一定程度上来说他们是一样的。但是,相对来说,电源平面的特性阻抗较高,与参考平面存在较大的电位势差。而地平面作为地基准,地平面的屏蔽作用要远远好于电源屏幕,对于重要信号,最好选择地平面作为参考屏幕。 3.2、电源层,信号层,地层位置 A、第二层为地层,用于屏蔽器件(如果有更重要的信号需要地,可以进行调整) B、所有信号层都有参考平面。 C、最好不要相邻信号层,有的话,要安排信号走向为垂直方向。 D、关键信号参考平面为完整的地平面不跨分割区。

3.3、几种常用的板子的叠层方案 四层版 BOT 在该方案中表层具有较好的信号质量,对器件也有较好的屏蔽,使电源层和地层距离适当拉近,可以降低电源地的分布阻抗,保证电源地的去耦效果。 其它一些方案参考 paul wang发的一份emc规范。

高速PCB设计心得

一:前言 随着PCB系统的向着高密度和高速度的趋势不断的发展,电源的完整性问题,信号的完整性问题(SI),以及EMI,EMC的问题越来越突出,严重的影响了系统的性能甚至功能的实现。所谓高速并没有确切的定义,当然并不单单指时钟的速度,还包括数字系统上升沿及下降沿的跳变的速度,跳变的速度越快,上升和下降的时间越短,信号的高次谐波分量越丰富,当然就越容易引起SI,EMC,EMI的问题。本文根据以往的一些经验在以下几个方面对高速PCB的设计提出一些看法,希望对各位同事能有所帮助。 ●电源在系统设计中的重要性 ●不同传输线路的设计规则 ●电磁干扰的产生以及避免措施 二:电源的完整性 1.供电电压的压降问题。 随着芯片工艺的提高,芯片的内核电压及IO电压越来越小,但功耗还是很大,所以电流有上升的趋势。在内核及电压比较高,功耗不是很大的系统中,电压压降问题也许不是很突出,但如果内核电压比较小,功耗又比较大的情况下,电源路径上的哪怕是0.1V 的压降都是不允许的,比如说ADI公司的TS201内核电压只有 1.2V,内核供电电流要 2.68A,如果路径上有0.1欧姆的电阻,电 压将会有0.268V的压降,这么大的压降会使芯片工作不正常。如何尽量减小路径上的压降呢?主要通过以下几种方法。

a:尽量保证电源路径的畅通,减小路径上的阻抗,包括热焊盘的连接方式,应该尽量的保持电流的畅通,如下图1和图2的比较,很明显图2中选择的热焊盘要强于图1。 b:尽量增加大电流层的铜厚,最好能铺设两层同一网络的电源,以保证大电流能顺利的流过,避免产生过大的压降,关于电流大小和所流经铜厚的关系如表1所示。 (表1) 1 oz.铜即35微M厚, 2 oz.70微M, 类推 举例说,线宽0.025英寸,采用2 oz.盎斯的铜,而允许温升30度,

pcb设计指南

mp3的设计原理及制作 高速PCB设计指南之一 第一篇PCB布线 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程 限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布 线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生 反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般 先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要 断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技 术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过 程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影 响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)、众所周知的是在电源、地线之间加上去耦电容。 (2)、尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,通常信号线宽为:0.2~ 0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm。对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个 地网来使用(模拟电路的地不能这样使用) (3)、用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用 一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑 它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人 PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们 之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有 在PCB上不共地的,这由系统设计来决定。 3 信号线布在电(地)层上 在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会给生产增加一定的工作量, 成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是 保留地层的完整性。 4 大面积导体中连接腿的处理 在大面积的接地(电)中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就电气性能而言,元件腿的焊盘 与铜面满接为好,但对元件的焊接装配就存在一些不良隐患如:①焊接需要大功率加热器。②容易造成虚焊点。所以兼顾电气 性能与工艺需要,做成十字花焊盘,称之为热隔离(heat shield)俗称热焊盘(Thermal),这样,可使在焊接时因截面过分散 热而产生虚焊点的可能性大大减少。多层板的接电(地)层腿的处理相同。 5 布线中网络系统的作用 在许多CAD系统中,布线是依据网络系统决定的。网格过密,通路虽然有所增加,但步进太小,图场的数据量过大,这必然对 设备的存贮空间有更高的要求,同时也对象计算机类电子产品的运算速度有极大的影响。而有些通路是无效的,如被元件腿的 焊盘占用的或被安装孔、定们孔所占用的等。网格过疏,通路太少对布通率的影响极大。所以要有一个疏密合理的网格系统来 支持布线的进行。 标准元器件两腿之间的距离为0.1英寸(2.54mm),所以网格系统的基础一般就定为0.1英寸(2.54 mm)或小于0.1英寸的整倍数, 如:0.05英寸、0.025英寸、0.02英寸等。 6 设计规则检查(DRC) 布线设计完成后,需认真检查布线设计是否符合设计者所制定的规则,同时也需确认所制定的规则是否符合印制板生产工艺的 需求,一般检查有如下几个方面: (1)、线与线,线与元件焊盘,线与贯通孔,元件焊盘与贯通孔,贯通孔与贯通孔之间的距离是否合理,是否满足生产要 求。 (2)、电源线和地线的宽度是否合适,电源与地线之间是否紧耦合(低的波阻抗)?在PCB中是否还有能让地线加宽的地 方。 (3)、对于关键的信号线是否采取了最佳措施,如长度最短,加保护线,输入线及输出线被明显地分开。 (4)、模拟电路和数字电路部分,是否有各自独立的地线。 (5)后加在PCB中的图形(如图标、注标)是否会造成信号短路。 (6)对一些不理想的线形进行修改。 (7)、在PCB上是否加有工艺线?阻焊是否符合生产工艺的要求,阻焊尺寸是否合适,字符标志是否压在器件焊盘上,以免影 响电装质量。 (8)、多层板中的电源地层的外框边缘是否缩小,如电源地层的铜箔露出板外容易造成短路。 Copyright by BroadTechs Electronics Co.,Ltd 2001-2002

ADI的高速PCB设计

The World Leader in High Performance Signal Processing Solutions A Practical Guide to High-Speed Printed Circuit Board Layout

Agenda Overview Schematic Location location location Location, location, location Power supply bypassing Parasitics Ground and power planes Packaging RF Signal routing and shielding Summary

Overview PCB layout is one of the last steps in the design process and often one of the most critical High-speed circuit performance is heavily dependant on High speed circuit performance is heavily dependant on layout A high-performance design can be rendered useless due to a poor or sloppy layout poor or sloppy layout Today’s presentation will help: p y p z Improve the layout process z Ensure expected circuit performance is achieved z Reduce design time L t z Lower cost z Lower stress for you and the PCB designer

关于制作pcb心得体会

关于制作pcb心得体会 制作PCB的心得体会 学习了一学期的PCB制版,我有很多的心得体会,在整个制版过程中,可以在Altium 之下进行,也可以在DXP XX 下进行,但两者之间要关联的文件,可在打工软件后,在菜单栏DXP---属性preferences---system—file type将文件类型与该软件进行关联,以后就可双击文件而利用这个Altium Designer 打开那个文件。常用的要关联的文件有工程文件project, 原理图文件sch,当然还有PCB文件。 先新建原理图,再新建PCB图。还要建个和。用来画库里找不到的元件,用来为该元件创建封装,再将这个封装给了里新建的元件,这样就可以了。若要新建第二个元件,则TOOL-New Component,然后画矩形,放管脚。放管脚Pin 时,Display name 要在矩形框内部,风络标识Designator 要在矩形框外部。还有在里画元件封装时一定要注意,将封装画在坐标的点,否则将原理图导入PCB后,拖动元件时,会产生鼠标指针跑到别的地方去的现象。原理图上的连线,可以用线直接连,也可以用net网络标识。在建好原理图之后,要先导出所需元件的清单,里面的模板Template要空着,file format先.xls,然后点Export就可以保存了。建好原理图后,要进行编译,Project---compile schdoc.,若没弹出message窗口,则需手动去右

下角system,,打开messages对话框,查看文件中的错误,对警告warnings 要进行检查,然后再导入PCB中。Design---updata PCB Document(第一个),就可将原理图导入到PCB中。 一次性修改多个元件的某项属性,可以按shift一个一个的选,也可以选中一个后右键,find similar objects ,然后在PCB Inspector中进行统一修改即可。如果要改变放置的过孔的大小,则步骤为:Tool—属性Preference—PCB Editor—Default—选择过孔Via,再点Edit Value更改后OK即可。 PCB图是实际要制作的电路板。Q键是PCB中mm和mil 之间的转换。Ctrl+m是测量距离,P+V是放置过孔,Z+A是观看整图等常用操作。过孔是上下两层之间连接改线使用的,焊盘是用来焊接元件的。过孔大小Hole size==22mil , 直径Diameter==40mil较为好看且实用。 将所有器件布局好后。进行连线前,先要设置好线的粗细。比如12V电源线最好用30mil,信号线用12mil,需要线宽大约是到2mm等。线宽与电流是有对应关系的。 布线前,要先设置好要布的各种线的宽度,如VCC和GND 的线宽和信号NET的线宽。 —规则—Design Rout,选电气规则,将其线间距在右边窗口中设置为12mil,放入右边的窗口中,然后点应用;

电路实训心得体会(新、选)

电路实验心得体会 电路实验,作为一门实实在在的实验学科,是电路知识的基础和依据。它可以帮助我们 进一步理解巩固电路学的知识,激发我们对电路的学习兴趣。在大一上学期将要结束之际, 我们进行了一系列的电路实验,从简单的戴维南定理到示波器的使用,再到回转路-----,一 共五个实验,通过这五个实验,我对电路实验有了更深刻的了解,体会到了电路的神奇与奥 妙。 不过说实话在做这次试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会 很难,做完实验之后两下子就将实验报告写完,直到做完这次电路实验时,我才知道其实并 不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很 顺利的完成实验,事实证明我错了,当我走上试验台,我意识到要想以优秀的成绩完成此次 所有的实验,难度很大,但我知道这个难度是与学到的知识成正比的,因此我想说,虽然我 在实验的过程中遇到了不少困难,但最后的成绩还是不错的,因为我毕竟在这次实验中学到 了许多在课堂上学不到的东西,终究使我在这次实验中受益匪浅。 下面我想谈谈我在所做的实验中的心得体会: 在基尔霍夫定律和叠加定理的验证实验中,进一步学习了基尔霍夫定律和叠加定理的应 用,根据所画原理图,连接好实际电路,测量出实验数据,经计算实验结果均在误差范围内, 说明该实验做的成功。我认为这两个实验的实验原理还是比较简单的,但实际操作起来并不 是很简单,至少我觉得那些行行色色的导线就足以把你绕花眼,所以我想说这个实验不仅仅 是对你所学知识掌握情况的考察,更是对你的耐心和眼力的一种考验。 在戴维南定理的验证实验中,了解到对于任何一个线性有源网络,总可以用一个电压源 与一个电阻的串联来等效代替此电压源的电动势us等于这个有源二端网络的开路电压uoc ,其等效内阻ro等于该网络中所有独立源均置零时的等效电阻。这就是戴维南定理的具 体说明,我认为其实质也就是在阐述一个等效的概念,我想无论你是学习理论知识还是进行 实际操作,只要抓住这个中心,我想可能你所遇到的续都问题就可以迎刃而解。不过在做这 个实验,我想我们应该注意一下万用表的使用, 尽管它的操作很简单,但如果你马虎大意也是完全有可能出错的,是你整个的实验前功 尽弃! 在接下来的常用电子仪器使用实验中,我们选择了对示波器的使用,我们通过了解示波 器的原理,初步学会了示波器的使用方法。在试验中我们观察到了在不同频率、不同振幅下 的各种波形,并且通过毫伏表得出了在不同情况下毫伏表的读数。 我们最后一个实验做的是一阶动态电路的研究,在这个实验中我们需要测定rl一阶电路 的零输入响应,零状态响应以及全响应,学习电路时间常数的测量方法。因为动态网络的过 渡过程是十分短暂的单次变化过程,如果我们选择用普通示波器过渡过程和测量有关的参数, 我们就必须是这种单次变化的过程重复出现。因此我们利用信号发生器输出的方波模拟阶跃 激励信号,即利用方波输出的上升沿作为零状态响应的正阶跃激励信号;利用方波的下降沿 作为零输入响应的负阶跃激励信号。上述是在做此实验时应注意的,因为如果不使动态网络 的过渡过程单次变化重复出现,会使我们所测得的值及其不准确。同时当我们把一个电容和 一个电阻串联到电路中,观察示波器中所显示的波形,如果它是周期性变化的,而且近似于 镰刀形,说明对于这个一阶动态电路实验已经基本上掌握! 总的来说,通过此次电路实验,我的收获真的是蛮大的,不只是学会了一些一起的使用, 如毫伏表,示波器等等,更重要的是在此次实验过程中,更好的培养了我们的具体实验的能 力。又因为在在实验过程中有许多实验现象,需要我们仔细的观察,并且分析现象的原因。 特别有时当实验现象与我们预计的结果不相符时,就更加的需要我们仔细的思考和分析了, 并且进行适当的调节。因此电路实验可以培养我们的观察能力、动手操做能力和独立思考能

高速PCB设计的基本知识及概念

高速PCB设计的基本知识及概念 1、“层(Layer)”的概念 与字处理或其它许多软件中为实现图、文、色彩等的嵌套与合成而引入的“层”的概念有所同,Protel的“层”不是虚拟的,而是印刷板材料本身实实在在的各铜箔层。现今,由于电子线路的元件密集安装。防干扰和布线等特殊要求,一些较新的电子产品中所用的印刷板不仅有上下两面供走线,在板的中间还设有能被特殊加工的夹层铜箔,例如,现在的计算机主板所用的印板材料多在4层以上。这些层因加工相对较难而大多用于设置走线较为简单的电源布线层(如软件中的Ground Dever和Power Dever),并常用大面积填充的办法来布线(如软件中的ExternaI P1a11e和Fill)。上下位置的表面层与中间各层需要连通的地方用软件中提到的所谓“过孔(Via)”来沟通。有了以上解释,就不难理解“多层焊盘”和“布线层设置”的有关概念了。举个简单的例子,不少人布线完成,到打印出来时方才发现很多连线的终端都没有焊盘,其实这是自己添加器件库时忽略了“层”的概念,没把自己绘制封装的焊盘特性定义为”多层(Mulii一Layer)的缘故。要提醒的是,一旦选定了所用印板的层数,务必关闭那些未被使用的层,免得惹事生非走弯路。 2、过孔(Via) 为连通各层之间的线路,在各层需要连通的导线的文汇处钻上一个公共孔,这就是过孔。工艺上在过孔的孔壁圆柱面上用化学沉积的方法镀上一层金属,用以连通中间各层需要连通的铜箔,而过孔的上下两面做成普通的焊盘形状,可直接与上下两面的线路相通,也可不连。一般而言,设计线路时对过孔的处理有以下原则: (1)尽量少用过孔,一旦选用了过孔,务必处理好它与周边各实体的间隙,特别是容易被忽视的中间各层与过孔不相连的线与过孔的间隙,如果是自动布线,可在“过孔数量最小化”(Via Minimiz8tion)子菜单里选择“on”项来自动解决。 (2)需要的载流量越大,所需的过孔尺寸越大,如电源层和地层与其它层联接所用的过孔就要大一些。 3、焊盘(Pad)

制作PCB的心得体会

制作PCB的心得体会 (王志亮_哈尔滨工业大学_超精密光电仪器工程研究所) (所用软件Altium Designer 6.6绿色版,免安装) 要关联的文件,可在打工软件后,在菜单栏DXP---属性preferences---system—file type将文件类型与该软件进行关联,以后就可双击文件而利用这个Altium Designer 6.6 打开那个文件。常用的要关联的文件有工程文件project, 原理图文件sch,当然还有PCB文件。 先新建原理图(sch图),再新建PCB图。还要建个SCH.LIB和PCB.LIB. Sch.lib用来画库里找不到的元件,PCB.lib用来为该元件创建封装(先用游标卡尺量好尺寸),再将这个封装给了SCH.LIB里新建的元件,这样就可以了。若要新建第二个元件,则TOOL-New Component.然后画矩形,放管脚。放管脚Pin时,Display name 要在矩形框内部,风络标识Designator 要在矩形框外部。还有在PCB.LIB 里画元件封装时一定要注意,将封装画在坐标的(0,0)点,否则将原理图导入PCB后,拖动元件时,会产生鼠标指针跑到别提地方去的现象。原理图上的连线,可以用线直接连,也可以用NET网络标识。在建好原理图之后,要先导出所需元件的清单(reports---Bill of materials),里面的模板Template要空着,file format先.xls(excell 的后缀的那个),然后点Export就可以保存了。建好原理图后,要进行编译,Project---compile schdoc.,若没弹出message窗口,则需手动去右下角system,,打开messages对话框,查看文件中的错误,

高速pcb设计指南之五

高速PCB设计指南之五 第一篇DSP系统的降噪技术 随着高速DSP(数字信号处理器)和外设的出现,新产品设计人员面临着电磁干扰(EMI)日益严重的威胁。早期,把发射和干扰问题称之为EMI或RFI(射频干扰)。现在用更确定的词“干扰兼容性”替代。电磁兼容性(EMC)包含系统的发射和敏感度两方面的问题。假若干扰不能完全消除,但也要使干扰减少到最小。如果一个DSP系统符合下面三个条件,则该系统是电磁兼容的。 1.对其它系统不产生干扰。 2.对其它系统的发射不敏感。 3.对系统本身不产生干扰。 干扰定义 当干扰的能量使接收器处在不希望的状态时引起干扰。干扰的产生不是直接的(通过导体、公共阻抗耦合等)就是间接的(通过串扰或辐射耦合)。电磁干扰的产生是通过导体和通过辐射。很多电磁发射源,如光照、继电器、DC电机和日光灯都可引起干扰。AC电源线、互连电缆、金属电缆和子系统的内部电路也都可能产生辐射或接收到不希望的信号。在高速数字电路中,时钟电路通常是宽带噪声的最大产生源。在快速DSP中,这些电路可产生高达300MHz的谐波失真,在系统中应该把它们去掉。在数字电路中,最容易受影响的是复位线、中断线和控制线。 传导性EMI 一种最明显而往往被忽略的能引起电路中噪声的路径是经过导体。一条穿过噪声环境的导线可检拾噪声并把噪声送到另外电路引起干扰。设计人员必须避免导线捡拾噪声和在噪声产生引起干扰前,用去耦办法除去噪声。最普通的例子是噪声通过电源线进入电路。若电源本身或连接到电源的其它电路是干扰源,则在电源线进入电路之前必须对其去耦。 共阻抗耦合 当来自两个不同电路的电流流经一个公共阻抗时就会产生共阻抗耦合。阻抗上的压降由两个电路决定。来自两个电路的地电流流经共地阻抗。电路1的地电位被地电流2调制。噪声信号或DC补偿经共地阻抗从电路2耦合到电路1。 辐射耦合 经辐射的耦合通称串扰,串扰发生在电流流经导体时产生电磁场,而电磁场在邻近的导体中感应瞬态电流。 辐射发射 辐射发射有两种基本类型:差分模式(DM)和共模(CM)。共模辐射或单极天线辐射

PCB实训心得体会

jiu jiang university pcb制版实训报告 专业:电子信息工程技术 班级: b1111 学号: 21111060120 学生姓名: 指导教师:实习时间: 2012-11-12至2012-11-16 实训地点:电子信息实验楼 pcb制版实训 一、实习的意义、目的及作用与要求 1.目的: (1)了解pcb设计的流程,掌握pcb设计的一般设计方法。 (2)锻炼理论与实践相结合的能力。 (3)提高实际动手操作能力。 (4)学习团队合作,相互学习的方法。 2.要求: (1)遵守实习纪律,注意实习安全。 (2)按时、按要求完成各项子任务。 (3)及时进行总结,书写实习报告。 (4)每人必须做一快pcb板。 3、意义: (1)提高自身能力,完成学习任务。 (2)掌握一种cad软件的使用。 (3)了解前沿技术。 (4)就业的方向之一。 二、pcb制版的历程 1.绘制原理图 2.新建原理图库 3.新建元件库封装 4. 导入元件封装库及网络列表 5.pcb元件布局 6.pcb布线 7.打印pcb图 8.制作电路板 三、元件库的设计 1.原理图元件库的制作; 1)打开新建原理图元件库文件*.lib 2)新建原理图元件 a、放置引脚,圆点是对外的端口。 b、画元件外形。 c、修改引脚属性。 [名称][引脚数(必须从1开始并且连续)] 隐藏引脚及其他信息 3)修改元件描叙 默认类型、标示、元件封装 4)、重命名并保存设计。 若还需要新建其他元件,可以\工具 \新建元件 a、独立元件 b、复合元件含子元件 5)设计中遇到的问题,怎么方法解决的

制作原理图元件库比较简单,因此在制作过程中没有出现什么问题。但是在制作过程中应特别注意,在放置引脚,圆点是对外的端口,并且注意修改引脚数应从1开始。 6)设计的原理图元件库截图 图1 lib.2/.4元件库图2 lib.2/.4元件库 2.元件封装库的制作; 1)打开新建的元件封装库。 2)添加焊盘、调整焊盘的位置、修改焊盘的属性。 焊盘可置于任意层 利用标尺或坐标工具定位焊盘 焊盘命名必须与原理图元件number相同 3)画元件外形 必须在top overlayer 层操作 4)设置参考点 编辑/设置参考点 5)重命名、存盘。 6)设计中遇到的问题,怎么方法解决的 a、在元件封装库的制作过程中,对焊盘的左右距离没有按标准调好,后来问了同学,就把距离按标准调和。 b、在做完元件封装库的制作之后,对文件进行了重命名,可是忘记了进行保存,后老师检查之后才发现这个问题。 c、应注意焊盘的间距与实物引脚间距相同,内部标号与原理图标号一致,保证实际引脚与原理图引脚对应。 7)设计的元件封装库截图 图1 rb.2/.4封装图2 rb.2/.4封装 注:电解电容参数: 外径:160mil,焊盘间距:90mil 焊盘外径:52mil,孔:28mil 按键开关参数: 长:320mil,宽:250mil 线宽:10mi,中间圆直径、水平:250mil,垂直:175mil 焊盘大小,外径:78mil,孔:78mil 四、原理图的绘制 1)、添加原理图元件库。 \design explorer 99 se\library\sch miscellaneous devices.ddb protel dos schematic libraries.ddb 6)、摆放元件 从元件库选择元件 查找元件 7)元件调整 x,y,space 拖动 删除多余元件 8)连接电路 篇二:pcb实训报告 pcb实训报告

PCB设计工程师最基本的技巧

PCB设计工程师最基本的技巧 布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout得以实现并验证,由此可见,布线在高速PCB设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。主要从直角走线,差分走线,蛇形线等三个方面来阐述。 1.直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: C=61W(Er)1/2/Z0 在上式中,C就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr指介质的介电常数,Z0就是传输线的特征阻抗。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数:ρ=(Zs-Z0)/(Zs+Z0),一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到正常的阻抗,整个发生阻抗变化的时间极短,往往在10ps之内,这样快而且微小的变化对一般的信号传输来说几乎是可以忽略的。 很多人对直角走线都有这样的理解,认为尖端容易发射或接收电磁波,产生EMI,这也成为许多人认为不能直角走线的理由之一。然而很多实际测试的结果显示,直角走线并不会比直线产生很明显的EMI。也许目前的仪器性能,测试水平制约了测试的精确性,但至少说明了一个问题,直角走线的辐射已经小于仪器本身的测量误差。 总的说来,直角走线并不是想象中的那么可怕。至少在GHz以下的应用中,其产生的任何诸如电容,反射,EMI等效应在TDR测试中几乎体现不出来,高速PCB设计工程师的重点还是应该放在布局,电源/地设计,走线设计,过孔等其他方面。当然,尽管直角走线带来的影响不是很严重,但并不是说我们以后都可以走直角线,注意细节是每个优秀工程师必备的基本素质,而且,随着数字电路的飞速发展,PCB工

相关文档
最新文档