环境探测与控制系统设计实验报告

环境探测与控制系统设计实验报告
环境探测与控制系统设计实验报告

环境信息探测与控制系统

——黑龙江大学2012年电子设计大赛

700实验室

组长:

组员:

2012年6月14日

目录

◆1摘要 3 ◆2关键词 3 ◆3绪论 3 ◆4传感器模块功能介绍及程序设计 3

? 4.1DS1302时钟芯片4

● 4.1.1 DS1302 简介 4

● 4.1.2 DS1302各引脚的功能 4

● 4.1.3 DS1302驱动程序 5

? 4.2DHT11温湿度模块8

● 4.2.1 DHT11温湿度模块简介8

● 4.2.2 DHT11温湿度模块各引脚的功能 9

● 4.2.3 DHT11温湿度模块驱动程序 9

? 4.3BH1750光感模块 19

● 4.3.1 BH1750光感模块简介 19

●4.3.2BH1750特点 19

? 4.4 LCD12864液晶屏20

● 4.4.1 LCD12864简介21

● 4.4.2 LCD12864基本特性 21

● 4.4.3 LCD12864引脚说明22 ◆5 设计总结23◆附录一系统流程图24

◆附录二系统电路图25◆附录三原理方框图与实物图26

1 摘要

本系统是以ATmega 16L单片机为主控制器,通过bh1750光感模块,dht11温湿度模块,ds1302时钟芯片,按键将光强,温度,湿度,时间这些模拟量转化为数字量,然后传入单片机中,再通过单片机将传感器出入的数据进行处理,然后再lcd12864液晶屏上显示出来,通过,SYN6288语音模块进行语音播报。本系统可以对周围环境进行检查和控制,可实现人机互动。

2关键词:单片机,传感器,温度,湿度,光感强度。

3绪论:

环境信息探测与控制系统是集时间,周围温度、湿度、光感强度于一体的控制系统,节省了大量资源,将过去需要多个系统测量的数据集合为一体。

本文重点介绍了该系统的设计思路,模块原理及功能,以及模块的使用方法及程序设计。本系统控制灵活、可靠性高、系统维护方便是一款智能化程度更高,性能更完美的环境信息探测控制系统。

4. 传感器模块功能介绍及程序设计

4.1 DS1302时钟芯片

4.1.1 DS1302 简介:

DS1302是美国DALLAS公司推出的一种高性能、低功耗的实时时钟芯片,附加31字节静态RAM,采用SPI三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号和RAM数据。实时时钟可提供秒、分、时、日、星期、月和年,一个月小与31天时可以自动调整,且具有闰年补偿功能。工作电压宽达2.5~5.5V。采用双电源供电(主电源和备用电源),可设置备用电源充电方式,提供了对后背电源进行涓细电流充电的能力。DS1302的外部引脚分配如图1所示及内部结构如图2所示。DS1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录,因此广泛应用于测量系统中。

DS1302的外部引脚分配

4.1.2 DS1302各引脚的功能:

Vcc1:主电源;Vcc2:备份电源。当Vcc2>Vcc1+0.2V时,由Vcc2向DS1302供电,当Vcc2< Vcc1时,由Vcc1向DS1302供电。SCLK:串行时钟,输入,控制数据的输入与输出;

I/O:三线接口时的双向数据线;

CE:输入信号,在读、写数据期间,必须为高。该引脚有两个功能:第一,CE开始控制字访问移位寄存器的控制逻辑;

其次,CE提供结束单字节或多字节数据传输的方法。

DS1302的内部结构

4.1.3 DS1302驱动程序:

4.2 DHT11温湿度模块

4.2.1 DHT11温湿度模块简介

DHT11 数字温湿度传感器是一款含有已校准数字信号输出的温湿度复合传感器。传感器包括一个电阻式感湿元件和一个NTC 测温元件,并与一个高性能8 位单片机相连接。因此该产品具有品质卓越、超快响应、抗干扰能力强、性价比极高等优点。每个DHT11 传感器都在极为精确的湿度校验室中进行校准。校准系数以程序的形式储存在OTP 内存中,传感器内部在检测信号的处理过程中要调用这些校准系数。单线制串行接口,使系统集成变得简易快捷。超小的体积、极低的功耗,信号传输距离可达20 米以上,使其成为各类应用甚至最为苛刻的应用场合的最佳选则。

4.2.2 DHT11温湿度模块各引脚的功能:

1:接VDD,供电3-5.5VDC。

2:接IO口,串行数据,单总线。

3:NC,空脚,悬空。

4:接GND,接地或电源负极。

4.2.3 DHT11温湿度模块驱动程序

#include

#include

//

typedef unsigned char U8; /* defined for unsigned 8-bits integer variable 无符号8位整型变量 */ typedef signed char S8; /* defined for signed 8-bits integer variable 有符号8位整型变量 */ typedef unsigned int U16; /* defined for unsigned

16-bits integer variable 无符号16位整型变量 */

typedef signed int S16; /* defined for signed 16-bits integer variable 有符号16位整型变量 */ typedef unsigned long U32; /* defined for unsigned 32-bits integer variable 无符号32位整型变量 */ typedef signed long S32; /* defined for signed 32-bits integer variable 有符号32位整型变量 */ typedef float F32; /* single precision floating point variable (32bits) 单精度浮点数(32位长度)*/

typedef double F64; /* double precision floating point variable (64bits) 双精度浮点数(64位长度)*/

//

#define uchar unsigned char

#define uint unsigned int

#define Data_0_time 4

sbit P2_0 = P2^0 ;

U8 U8FLAG,k;

U8 U8count,U8temp;

U8

U8T_data_H,U8T_data_L,U8RH_data_H,U8RH_data_L,U8checkd ata;

U8

U8T_data_H_temp,U8T_data_L_temp,U8RH_data_H_temp,U8RH_ data_L_temp,U8checkdata_temp;

U8 U8comdata;

U8 outdata[5]; //定义发送的字节数

U8 indata[5];

U8 count, count_r=0;

U8 str[5]={"RS232"};

U16 U16temp1,U16temp2;

SendData(U8 *a)

{

outdata[0] = a[0];

outdata[1] = a[1];

outdata[2] = a[2];

outdata[3] = a[3];

outdata[4] = a[4];

count = 1;

SBUF=outdata[0];

}

void Delay(U16 j)

{ U8 i;

for(;j>0;j--)

{

for(i=0;i<27;i++);

}

}

void Delay_10us(void) {

U8 i;

i--;

i--;

i--;

i--;

i--;

i--;

}

void COM(void)

{

U8 i;

for(i=0;i<8;i++)

{

U8FLAG=2;

while((!P2_0)&&U8FLAG++);

Delay_10us();

Delay_10us();

Delay_10us();

U8temp=0;

if(P2_0)U8temp=1;

U8FLAG=2;

while((P2_0)&&U8FLAG++);

//超时则跳出for循环

if(U8FLAG==1)break;

//判断数据位是0还是1

// 如果高电平高过预定0高电平值则数据位为 1

U8comdata<<=1;

U8comdata|=U8temp; //0

}//rof

}

//--------------------------------

//-----湿度读取子程序 ------------

//--------------------------------

//----以下变量均为全局变量--------

//----温度高8位== U8T_data_H------

//----温度低8位== U8T_data_L------

//----湿度高8位== U8RH_data_H-----

//----湿度低8位== U8RH_data_L-----

//----校验 8位 == U8checkdata-----

//----调用相关子程序如下----------

//---- Delay();, Delay_10us();,COM();

//--------------------------------

void RH(void)

{

//主机拉低18ms

P2_0=0;

Delay(180);

P2_0=1;

//总线由上拉电阻拉高主机延时20us

Delay_10us();

Delay_10us();

Delay_10us();

Delay_10us();

//主机设为输入判断从机响应信号

P2_0=1;

//判断从机是否有低电平响应信号如不响应则跳出,响应则向下运行

if(!P2_0) //T !

{

U8FLAG=2;

//判断从机是否发出 80us 的低电平响应信号是否结束

while((!P2_0)&&U8FLAG++);

U8FLAG=2;

//判断从机是否发出 80us 的高电平,如发出则进入数据接收状态

while((P2_0)&&U8FLAG++);

//数据接收状态

COM();

U8RH_data_H_temp=U8comdata;

COM();

U8RH_data_L_temp=U8comdata;

COM();

U8T_data_H_temp=U8comdata;

COM();

U8T_data_L_temp=U8comdata;

COM();

U8checkdata_temp=U8comdata;

P2_0=1;

//数据校验

U8temp=(U8T_data_H_temp+U8T_data_L_temp+U8RH_data_H_te mp+U8RH_data_L_temp);

if(U8temp==U8checkdata_temp)

{

U8RH_data_H=U8RH_data_H_temp;

U8RH_data_L=U8RH_data_L_temp;

U8T_data_H=U8T_data_H_temp;

U8T_data_L=U8T_data_L_temp;

U8checkdata=U8checkdata_temp;

}//fi

}//fi

}

//----------------------------------------------

//main()功能描述: AT89C51 11.0592MHz 串口发

//送温湿度数据,波特率 9600

//----------------------------------------------

void main()

{

U8 i,j;

//uchar str[6]={"RS232"};

/* 系统初始化 */

TMOD = 0x20; //定时器T1使用工作方式2

TH1 = 253; // 设置初值

TL1 = 253;

TR1 = 1; // 开始计时

SCON = 0x50; //工作方式1,波特率9600bps,允许接收ES = 1;

EA = 1; // 打开所以中断

TI = 0;

RI = 0;

SendData(str) ; //发送到串口

Delay(1); //延时100US(12M晶振)

while(1)

{

//------------------------

//调用温湿度读取子程序

RH();

//串口显示程序

//--------------------------

str[0]=U8RH_data_H;

str[1]=U8RH_data_L;

str[2]=U8T_data_H;

str[3]=U8T_data_L;

str[4]=U8checkdata;

SendData(str) ; //发送到串口

//读取模块数据周期不易小于 2S Delay(20000);

}//elihw

}// main

void RSINTR() interrupt 4 using 2 {

U8 InPut3;

if(TI==1) //发送中断

{

TI=0;

if(count!=5) //发送完5位数据

{

SBUF= outdata[count];

count++;

}

}

if(RI==1) //接收中断

{

InPut3=SBUF;

indata[count_r]=InPut3;

count_r++;

RI=0;

if (count_r==5)//接收完4位数据

{

//数据接收完毕处理。

count_r=0;

str[0]=indata[0];

str[1]=indata[1];

str[2]=indata[2];

str[3]=indata[3];

str[4]=indata[4];

P0=0;

}

}

}

4.3 BH1750光感模块

4.3.1 BH1750光感模块简介

BH1750FVI 是一种用于两线式串行总线接口的数字型光强度传感器集成电路。这种集成电路可以根据收集的光线强度数据来调整液晶或者键盘背景灯的亮度。利用它的高分辨率可以探测较大范围的光强度变化。

4.3.2 BH1750特点

1. 支持I2C BUS 接口(f/s Mode Support)。

2. 接近视觉灵敏度的光谱灵敏度特性(峰值灵敏度波长典型

值:560nm)。

3. 输出对应亮度的数字值。

4. 对应广泛的输入光范围(相当于1-65535lx)。

5. 通过降低功率功能,实现低电流化。

6. 通过50Hz/60Hz 除光噪音功能实现稳定的测定

7. 支持1.8V 逻辑输入接口。

8. 无需其他外部件。

9. 光源依赖性弱(白炽灯,荧光灯,卤素灯,白光LED,日光灯)。

10. 有两种可选的I2C slave 地址。

11. 可调的测量结果影响较大的因素为光入口大小。

12. 使用这种功能能计算1.1 lx 到100000 lx 马克斯/分钟的范围。

13. 最小误差变动在±20%。

14. 受红外线影响很小。

VCC DVI

GND

4.4 LCD12864液晶屏

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

现代设计黄金分割法复合形法实验报告word文档良心出品

《现代设计理论与方法》实验报告 、实验目的 机械优化设计是一门实践性较强的课程,学生通过实际上机计算可以达到以 下目的: 1. 加深对机械优化设计方法的基本理论和算法步骤的理解; 2. 培养学生独立编制或调试计算机程序的能力; 3. 掌握常用优化方法程序的使用方法; 4 .培养学生灵活运用优化设计方法解决工程实际问题的能力。 、实验项目、学时分配及对每个实验项目的要求 1.明确黄金分割法基本原理、计算步骤及程序框图; 吐 入「土 2?编制或调试黄金分割法应用程序; 1 黄金分割法 2 八' " 3 ?用测试题对所编程序进行测试; 4?撰写实验报告。 1.明确复合形法基本原理、计算步骤及程序框图 等; 2 复合形法 4 2?编制或调试复合形法应用程序; 3 ?用测试题对所编程序进行测试; 4?撰写实验报告。 二、测试题 1. 黄金分割法程序测试题 1 )rn"何二?-10r+36,取坷=0 ,卜皿1, 沪 程序如下: #in clude #in clude #in clude #defi ne e 0.00001 序实验项目 学时 号 实验要求

#define tt 0.01 float function(float x) float y=pow(x,2)-10*x+36;// return(y); void finding(float a[3],float f[3]) float t=tt,a1,f1,ia; int i; f[0]=function(a[0]); for(i=0;;i++) a[1]=a[0]+t;f[1]=function(a[1]); if(f[1]=e) t=-t;a[0]=a[1];f[0]=f[1]; else{ if(ia==1) return; t=t/2;ia=1; for(i=0;;i++) a[2]=a[1]+t;f[2]=function(a[2]); if(f[2]>f[1]) break; t=2*t; a[0]=0;/ / 初始区间的下界值 求解的一维函数

物流系统实验报告

课程实验报告

2.建立Process system 点击“process system”窗口中“process”—“new”,新建“Pread”、“Pinit”、“Pstore”“Pdock” 3.建立实体Load 首先建立第一个Load,单击Load,在其窗口下,单击“New”出现命名为“Lstock”,单击“Edit Graphic”,单击Place,将实体放在模型上,并对其的颜色、大小、位置等进行设置,单击Done回到刚才的画面,点击New Creation。同理创建Lfront, Lmiddle,Lback,LfrontBox,LmiddleBox,LbackBox… 4、建立队列Queues 单击Queues,在其窗口下,单击New,命名为Qstock,设置其数量和容量,然后单击Edit Graphic,单击Place,将Queues放在模型上,并且可以设置其的颜色、大小、位置等,其操作类似于实体。同样增加队列Qfront、Qmiddle、Qback… 第二步:绘制Path mover图 1、创建Path mover系统 Path mover系统是用来仿真车辆或者操作人员等沿着一定路径运动的系统。 打开system,新建一个Path mover系统,命名为“path1”。 2、绘制引导路径 引导路径是机车行走的路径,可以为单向,也可为双向。 (1)进入Pathmover编辑窗口,单击Single line,画出“path1”的所有引导路径。若要对路径进行编辑,可单击select选中相应的路径后点击Edit对它进行各种参数的设置。(2)绘制完所有引导路径后,需要对弯道进行连接。单击Filet,再在图上选中要连接的两条路径,可对弯道半径进行设置。重复该操作,直至所有的路径都连通为止。得到引导路径。 3、设置控制点 控制点是车辆拾取、释放实体的位置,或者车辆停留的位置;控制点限制路径上同时移动的车辆的数量;机车需声明下一个控制点才能离开当前点;控制点的容量决定路径上机车的数量。 点击Control Point,在引导路径上绘制控制点,分别命名。也可通过Select选中控制点,

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

机电产品设计实验报告

课程名称:机电产品现代设计方法上课时间:2015年春季 机电产品现代设计方法实验报告 姓名: 学号: 班级: 所在学院:机电工程学院 任课教师:张旭堂

一、实验项目与实验目的 实验项目: 典型机电产品多学科协同优化设计。 试验目的: (1) 掌握典型机电产品多学科协同优化设计软件环境组成,包括建模软件、分析软件、协同平台。 (2)自主设计产品模型、分析过程、优化目标。 (3) 对得到的优化结果进行定性分析,解释结果的合理性,编写上机实验报告。 二、实验环境 网络协同设计环境,如下图所示:包括产品CAD建模、有限元分析FEM、动力学仿真ADAMS和控制仿真MATLAB。计算机网络硬件环境和相应软件环境。图形工作站和路由器,安装协同设计仿真软件。

型 协同设计仿真平台组成 三、实验原理 典型机电产品协同设计仿真工作流程如下图所示。 1)利用CAD建模工具,建立产品模型; 2)利用ADAMS建立产品运动学模型; 3)根据CAD和ADAMS传过来的结构模型和边界条件分析零件应力场和应变场; 4)用ADAMS分析得到的运动参数(位移、速度)。

协同设计仿真平台组成 四、实验内容与步骤 (1)总体方案设计 SysML语言是UML语言(Unified Modeling Language,统一建模语言,一种面向对象的标准建模语言,用于软件系统的可视化建模)在系统工程应用领域的延续和扩展,是近年提出的用于系统体系结构设计的多用途建模语言,用于对由软硬件、数据和人综合而成的复杂系统的集成体系结构进行可视化的说明、分析、设计及校验。 在这里我们绘制参数图如下。在下面的参数图中,我们确定了系统中各部件的相互约束情况。

物流系统规划与设计实验报告2

郑州航空工业管理学院 物流系统规划与设计实验报告(二) 专业交通运输(航空物流方向) 学号130506208 姓名管泽军 二О一六年十月二十三日

一、实验名称 实验名称:物流运输线路 实验学时:6学时 实验时间:2016-2017学年第1学期第8周周日5-10节 实验地点:物流学院物流实验室 指导教师:王永刚 二、实验目的与任务 某家运输公司签订了一项运输合同,要把A市的一批货物运送到B市。该公司根据这两个城市之间可选择的行车路线的地图,绘制了公路网络(如下图所示)。请运用运筹学模型和计算机软件,求出最短运输线路。

三、实验步骤与内容 采用Dijkstra算法求解最优路线问题: 算法描述如下: 1)令arcs表示弧上的权值。若弧不存在,则置arcs为∞(在本程序中为MAXCOST)。S为已找到的从v出发的的终点的集合,初始状态为空集。那么,从v出发到图上其余各顶点可能达到的长度的初值为D=arcs[Locate Vex(G, vi )],vi∈V; 2)选择,使得D =Min{ D |vi∈V-S } ; 3)修改从v出发的到集合V-S中任一顶点vk的最短路径长度。 算法步骤如下: G={V,E} 1. 初始时令S={V0},T=V-S={其余顶点},T中顶点对应的距离值 若存在,d(V0,Vi)为弧上的权值 若不存在,d(V0,Vi)为∞ 2. 从T中选取一个与S中顶点有关联边且权值最小的顶点W,加入到S中 3. 对其余T中顶点的距离值进行修改:若加进W作中间顶点,从V0到Vi的距离值缩短,则修改此距离值 重复上述步骤2、3,直到S中包含所有顶点,即W=Vi为止

机电产品现代设计方法实验报告

课程名称:机电产品现代设计方法 上课时间:2014年春季 机电产品现代设计方法实验报告 姓名: 学号: 班级: 所在学院:机电工程学院 任课教师:金天国张旭堂

实验名称机电产品现代设计方法 姓名学号班级 实验地点实验日期评分 指导教师张旭堂同组成员其他 1 静态存储器扩展实验 1.1 实验目的 (1)掌握典型机电产品多学科协同优化设计软件环境组成,包括建模软件、分析软件、协同平台; (2)自主设计产品模型、分析过程、优化目标; (3)对得到的优化结果进行定性分析,解释结果的合理性,编写上机实验报告。 1.2 实验内容 (1) 轴的有限元分析 (2) 基于Adams的运动学分析与仿真 1.3实验相关情况介绍(包含使用软件或实验设备等情况) 1.3.1使用软件 本实验使用软件为Adams及abaqus,利用Adams进行运动学仿真分析,利用abaqus进行有限元分析。 1.3.2实验设备 计算机。 1.4实验结果 1.4.1基于ADAMS 的运动学仿真 (1)构造ADAMS样机机械模型 根据指导书建立铲车的三维模型。三维模型可以通过专门三维建模软件进行建模,然后导入ADAMS,也可以直接用ADAMS建模。利用ADAMS建模过程在《adams 运动仿真例子》中有详述,直接给出建模后的模型,如图1所示:

图1 铲车模型 (2)构建约束 根据要求构造四个约束:基座和座架之间的创建转动副,轴肩与座架间构建转动副,铲斗与悬臂间构建转动副,悬臂与轴肩之间构建平动副。构建后的模型如图2所示: 图2 添加约束铲车模型 (3)添加运动 根据题意分别对四个运动副添加运动函数: (a)基座和座架之间的创建转动副:360d*time;

物流系统flexsim仿真实验报告

物流系统f l e x s i m仿真 实验报告 文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

广东外语外贸大学 物流系统仿真实验 通达企业立体仓库实验报告 指导教师:翟晓燕教授专业:物流管理1101

目录

一、企业简介 二、通达企业立体仓库模型仿真 1.模型描述: 仓储的整个模型分为入库和出库两部分,按作业性质将整个模型划分为暂存区、分拣区、储存区以及发货区。 入库部分的操作流程是: ①.(1)四种产品A,B,C,D首先到达暂存区,然后被运 输到分类输送机上,根据设定的分拣系统将A,B,C,D分拣到 1,2,3,4,端口; ②.在1,2,3,4,端口都有各自的分拣道到达处理器,处理 器检验合格的产品被放在暂存区,不合格的产品则直接吸收掉; 每个操作工则将暂存区的那些合格产品搬运到货架上;其中,A, C产品将被送到同一货架上,而B,D则被送往另一货架; ③.再由两辆叉车从这两个货架上将A/B,C/D运输到两个 暂存区上;此时,在另一传送带上送来包装材料,当产品和包装 材料都到达时,就可以在合成器上进行对产品进行包装。 出库部分的操作流程是:包装完成后的产品将等待被发货。 2.模型数据: ①.四种货物A,B,C,D各自独立到达高层的传送带入口端:

A:normal(400,50)B:normal(400,50)C:uniform(500,100)D:uniform(500,100) ②.四种不同的货物沿一条传送带,根据品种的不同由分拣 装置将其推入到四个不同的分拣道口,经各自的分拣道到达操作 台。 ③.每检验一件货物占用时间为60,20s。 ④.每种货物都可能有不合格产品。检验合格的产品放入检 验器旁的暂存区;不合格的吸收器直接吸收;A的合格率为95%, B为96%,C的合格率为97%,D的合格率为98%。 ⑤.每个检验操作台需操作工一名,货物经检验合格后,将 货物送至货架。 ⑥.传送带叉车的传送速度采用默认速度(包装物生成时间 为返回60的常值),储存货物的容器容积各为1000单位,暂存 区17,18,21容量为10; ⑦.分拣后A、C存放在同一货架,B、D同一货架,之后由 叉车送往合成器。合成器比例A/C : B/D : 包装物 = 1: 1 :4 整个流程图如下: 3.模型实体设计

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

现代设计方法实验报告

《现代机械设计方法学》实验报告 班级: 学号: 姓名: 成绩:

实验一、有限元分析 (一)目的: 1、初步掌握有限元软件分析力学问题的过程,包括几何建模、网格划分等前处理功能,掌握各种计算结果的阅读。 2、掌握材料数据、载荷、约束的添加方法。 (二)要求:学生独立完成一个算例的有限元分析,并阅读其计算结果,提交一个算例的分析报告。 (三)计算实例 1、问题的描述 为了考察铆钉在冲压时,发生多大的变形,对铆钉进行分析。 铆钉圆柱高:10mm 铆钉圆柱外径:6mm 铆钉下端球径:15mm 弹性模量:2.06E11 泊松比:0.3 铆钉材料的应力应变关系如下: 应变0.003 0.005 0.007 0.009 0.011 0.02 0.2 618 1128 1317 1466 1510 1600 1610 应力 /Mpa

1、有限元模型。

3、应力云图,可选主应力或σx、σy、τxy、V on Mises应力、Tresca应力之一输出结果图片,指明你所选的应力的最大值及其位置。 (三)思考题: 1、如果要提高边界处计算精度,一般应如何处理? 答:在边界处划分网格 2、有限元网格划分时应注意哪些问题? 答:选取的时候要将编号显示出来,这样就可以更好的选择,网格尽可能的小,这样结果就越准确。

实验二、优化实验 (一)目的: 初步掌握利用ANSYS软件或MATLAB软件对问题进行分析。 (二)要求: 学生独立完成一个算例的分析,并给出算例的计算结果。。 (三)算例 1.实际问题 梁的形状优化,优化目的是使梁的体积最小,同时要求梁上的最大应力不 超过30000psi,梁的最大挠度不大于0.5in,沿长度方向梁的厚度可以变化,但梁端头的厚度为定值t,采用对称建模。 使用两种方法进行优化,两种方法优化结果。 子问题近视法目标ANSYS 百分比(TVOL)体积in3 3.60 3.62 1.004 (DEFL)挠度max in 0.500 0.499 0.998 (STRS)应力max,psi 30000 29740 0.991 第一阶法目标ANSYS 百分比(TVOL)体积in3 3.6 3.61 1.003 (DEFL)挠度max in 0.5 0.5 1.001 STRS)应力max,psi 30000 29768 0.992

物流信息系统课程设计实验报告书

配送信息管理系统课程实验报告2016 -2017 学年第一学期 开课单位:海洋信息工程学院 年级专业:14级物流管理 课程名称:配送信息管理系统课程实验 主讲教师:刘浩 课程序号: 课程代码: 实验名称:配送信息管理系统课程实验 学号:1416060203 姓名:陈霞

目录 1. 概述 (3) 1.1快递背景 (3) 1.2系统开发目的 (3) 1.3设计思路 (3) 2. 需求分析 (4) (1)系统管理 (4) (2)货物管理 (4) (3)用户管理 (4) 2.1可行性分析 (5) (1)经济可行性 (5) (2)技术可行性 (5) (3)运行可行性 (5) 2.2组织结构功能分析 (6) 3. 业务流程分析 (6) (1)业务流程图 (6) (2)收发配送流程图 (7) 4. 系统功能分析 (7) (1)基本信息设置功能 (7) (2)信息查询功能 (8) (3)报表管理功能 (8) (4)系统管理功能 (8) 4.1数据流程分析 (8) (1)顶层数据流程 (8) (2)快件收取数据流程 (9) (3)快件领取数据流程 (9) 5. 系统设计 (10) (1)设计目标 (10) (2)系统及运行环境 (11) (3)数据库设计 (11) (4)主要数据表结构图 (12) (5)系统物理配置方案设计 (13) (5)程序结构设计 (13) 6.总结 (15)

可可快递公司配送信息管理系统 随着社会经济的发展及人们生活需求的提高,物流业作为一门新兴的产业在我国生产和流通领域掀起一股热潮。其中,配送环节是物流过程中至关重要的一环,以高效的管理实现较低的物流成本为顾客提供满意的服务。物流配送管理系统是实现上述目标的技术保障。 1.概述 1.1 快递背景 随着社会经济的发展及人们生活需求的提高,物流业作为一门新兴的产业在我国生产和流通领域掀起了一股热潮,并在近几年得到了迅猛的发展,各大型知名企业纷纷建立了自己的配送中心,各地的运输企业、仓储企业也都挂牌成立物流企业,虽说带有很大的泡沫成分,但也显示了物流行业在我国的强劲发展势头,将成为21世纪我国经济发展的一个新的经济增长点。随着我国加入WTO,进出口贸易量的增大,必将促进物流业的快速发展,尤其是第三方物流在我国具有广阔的发展前景。 我国快递行业起步晚,存在诸多局限性,如:企业规模小,自动化程度低,处理速度慢,快件自动分拣技术落后;专业物流人才不足,对配送过程的效率和质量缺乏有效的控制;配送模式单一,配送时间和配送量没有科学依据,物流成本不断增加,服务质量提高缓慢。目前,学者对快递配送的定量研究严重滞后于快递的发展速度,能够真正帮助快递企业提供科学决策依据的方法比较少。 1.2系统开发目的 物流配送信息管理是信息行业业务流程过程中十分重要且必备的环节之一,在信息行业业务流程当中起着承上启下的作用,其重要性不言而喻。但是,目前许多信息行业在具体的业务流程处理过程中仍然使用手工操作的方式来实施,不仅费时、费力,效率低下,而且无法达到理想的效果。针对上述问题,结合微软公司的mysql数据库,数据库设计遵循3范式,主要设计了物流配送基本信息表、消费基本信息表、管理系统的用户口令表等数据表。解决了物流配送管理系统中存在的数据安全性、数据一致性以及系统运行速度等问题。 1.3设计思路 (1)系统应符合物流配送信息管理的规定,满足信息行业相关人员日常使用的需要,并达到操作过程中的直观,方便,实用,安全等要求; (2)系统采用模块化程序设计方法,既便于系统功能的各种组合和修改,又便于未参与开发的技术维护人员补充,维护;

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

物体运动的方式实验报告

物体运动的方式实验报告 (文章一):实验报告四年级4课.小吊车活动1:做小吊车(分组实验)制作目的:做小吊车并研究小吊车原理制作材料及工具:小纸盒吊车臂吊臂支架线绳两个铁丝钩一个剪刀锥子胶水钩码制作过程: 1.小组分工合作 2.观察小吊车模型组装各部分①四个点要对称,固定牢固;②绳子要从前往后穿,不要穿反了; 3.调试小吊车分别拉动两根线,看看小吊车的臂能否灵活运动. 实验现象:小吊车能提起或放下钩码实验结论:放松上牵引绳,拉紧下牵引绳,吊臂向下运动;拉紧上牵引绳,放松下牵引绳,吊臂向上运动。活动2:收与放实验目的:推断动物与人的肢体运动原理(分组实验) 实验过程: 1. 弯曲手臂,感受上臂上下肌肉的长短松紧变化。 2.伸直手臂,感受上臂上下肌肉的长短松紧变化。 3.反复几次体会与小吊车的原理的联系。实验现象:手臂骨骼就像小吊车的吊臂,肌肉就像绳子,手臂运动时,当肱二头肌收缩,肱三头肌舒张时,肱二头肌牵动前臂向内收缩;当肱三头肌收缩,肱二头肌舒张时,肱三头肌牵动前臂向外伸展. 实验结论:前臂收缩类似小吊车抬起重物。前臂伸展类似小吊车放下重物。6课.做沙盘(分组实验)制作目的:通过制作校园沙盘模型培养学生的设计制作能力。制作材

料:硬纸板学校平面图橡皮泥潮湿的沙土废旧泡沫包装纸小木棍颜料盒剪刀制作步骤:对校园建筑的布局进行观测2.用大的硬纸板做底座。在纸板上画好学校平面图。(明确建筑物.树木等的位置) 3.用橡皮泥旧泡沫等材料做出立体的楼房等校园建筑物,根据平面图摆放好位置。(可以用长方体或正方体的泡沫做楼房,硬纸板做围墙,小木棍做旗杆等)。4.要注意建筑物的比例。(四年级的学生还不能很精确地计算出比例尺,教师适当指导。)8课.快与慢实验目的:研究小车运动的快慢(分组实验) 实验材料:秒表(或电子手表)、长尺、玩具车(学生自带),橡皮泥,马达、电池等(学生自带)实验过程: 1.小组做好分工:赛车手、计时员、测量员、记录员。 2.找好起点(必要时确定好终点); 3.秒表做好归零; 4.在相同时间内必须进行多次测量(不少于3次),并做好记录 5. .在相同距离内必须进行多次测量(不少于3次),并做好记录实验结论:1:相同时间内经过的距离越长,物体运动的速度越快2:相同距离下所用的时间越短,物体运动的速度越快活动2:玩小车实验目的:研究小车运动的快慢与载重物及路面光滑程度是否有关?(对比试验) 实验材料:秒表(或电子手表), 木板, 玩具车(学生自带),钩码, 毛巾. 实验方法:1做好小组分工:赛车手、计时员、记录员; 2先测量空车时小车在木板上运动时间; 3别的条件不变,向小车上加钩

物流系统数据库设计

本科学生综合性实验报告 课程名称:数据库技术及应用 第三方物流运输 系统数据库设计 实验项目名称第三方物流运输系统数据库设计 指导教师及职称 _______________________________ 开课学期 2010 至2011 学年第2学期 截止日期 2011 年__6 ____ 月 20 日 项目组长 成 员 强项 彭聪 蔡佳欣 B01 学号 0093954 学号 0093956 学号 0093971 班

目录 1需求分析 (1) 1.1编写目的 (1) 1.2背景 (1) 1.3功能分析 (2) 1.4系统功能分析 (2) 1.4.1客户基本信息管理 (2) 1.4.2车辆基本信息管理 (3) 1.4.3订单基本信息管理 (3) 1.4.4路线基本信息管理 (3) 1.4.5客户评价基本信息管理 (3) 1.5系统功能图分析 (4) 1.6数据需求及业务规则分析 (4) 1.7数据约束分析 (5) 1.8数据字典 (6) 1.8.1数据项与数据结构 (6) 1.8.2数据处理 (9) 2数据库概念设计 (9) 2.1定义实体集及属性 (9) 2.2定义联系集及属性 (10) 2.3E-R模型设计 (10) 2.3.1 实体E-R模型设计 (10) 2.3.2多元联系E-R模型设计 (11) 2.3.3 总E-R模型设计 (12) 3逻辑设计 (12) 3.1实体集转化为关系模式 (12) 3.2联系集转化为关系模式 (13) 3.3聚合转化为关系模式 (13) 3.3关系设计 (13) 3.4函数依赖关系 (16) 3.5模式求精 (16) 4物理设计 (17) 4.1关系模式存储方式选择 (17) 4.1.1索引存取方式,主要采用B+树索引方法 (18) 4.1.2聚簇存取方式 (18) 4.2数据库的存取结构 (18) 4.2.1数据的存放位置 (18) 4.2.2数据存储路径 (18) 5数据库脚本 (18) 6 游标、触发器与存储过程 (24)

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

哈工大机电产品现代设计方法实验报告

1 实验目的 (1)掌握典型机电产品多学科协同优化设计软件环境组成,包括建模软件、分析软件、协同平台; (2)自主设计产品模型、分析过程、优化目标; (3) 对得到的优化结果进行定性分析,解释结果的合理性,编写上机实验报告。 2 实验内容 (1) 轴或负载台的有限元分析 (2) 基于Adams的运动学分析与仿真 3实验相关情况介绍(包含使用软件或实验设备等情况) 网络协同设计环境,如图1所示:包括产品CAD建模、有限元分析FEM、动力学仿真ADAMS和控制仿真MATLAB。计算机网络硬件环境和相应软件环境。图形工作站和路由器,安装协同设计仿真软件。 型 图1 协同设计仿真平台组成

典型机电产品协同设计仿真工作流程如下图2所示。 1)利用CAD建模工具,建立产品模型; 2)利用ADAMS建立产品运动学模型; 3)根据CAD和ADAMS传过来的结构模型和边界条件分析零件应力场和应变场;4)用ADAMS分析得到的运动参数(位移、速度)。 CAD模型 (STEP / IGES格式) 1.产品CAD建模 (CATIA) 3.CAE有限元分 析 (CATIA) FEM 分析结果 (应力、应变、模态) 2.动力学分析 (ADAMS) 驱动力、反应 时间 有限元输入载荷 4.控制仿真 (MATLAB) 运动参数:位 移、速度 动力参数:惯 量、载荷 图2 协同设计仿真平台组成 SysML语言是UML语言(Unified Modeling Language,统一建模语言,一种面向对象的标准建模语言,用于软件系统的可视化建模)在系统工程应用领域的延续和扩展,是近年提出的用于系统体系结构设计的多用途建模语言,用于对由软硬件、数据和人综合而成的复杂系统的集成体系结构进行可视化的说明、分析、设计及校验。 在这里我们绘制参数图如下。在下面的参数图中,我们确定了系统中各部件的相互约束情况。

物流系统课程设计实验报告

上海电力学院课程设计(大型作业)任务书 设计名称软件设计实训 物流系统 院(系)计算机科学与技术学院 专业软件工程 班级 学生姓名 学号 指导老师签名: 专业负责人签名: 学生签名:

1需求分析 1.1编写目的 《物流系统》即将启动实施,为了使该系统更好的服务于客户与快递公司之间的沟通和交流,提高工作效率及管理水平,同时系统在功能上积极配合用户的需求,使其摆脱繁重不堪效率低缓的手工操作,规范物流信息的管理工作,我们对该《物流系统》的功能进行了详细的区分和设定,该软件需求说明书也是与用户沟通的一个桥梁,也是系统分析员和程序设计员了解业务项目和功能设置的一个途径。 本系统开发目标是开发一个基本的物流系统,涵盖物流查询、物流管理等基本操作。基本用户可以按照运单号进行查询,无需注册登录等繁琐的信息。管理登录后可以进行密码修改,密码修改是为了保证管理在本网站的安全性,便于物流管理管理。另外,管理者还可以填写快递单(物流信息)。如果数据量很大可以导入物流信息,还可以管理到达该站点的物流信息,实行中转操作。也可以确认快递单的是否签收。系统分为这几个主要模块,整个系统要求操作方便简单,功能完善,具备商业化气息,除了提供软件程序外还需提供比较完整的开发文档。 1.2背景 随着市场经济的飞速发展,市场格局已经发生了翻天覆地的变化,即由卖方市场转变为买方市场。面对越来越精明的消费者,质量、价格己不仅仅是市场决胜的唯一因素,也不再是衡量“客户满意度的唯一标准,”服务“已经成为了现代企业最为重视的课题之一。谁能为用户提供更好的服务,谁就能获得更高的客户满意度,也就能赢得更多的市场。而强化、完善和不断提高服务质量的同时,降低服务成本成为与产品、质量同等重要的问题。 身处于21世纪的今天,人们购买物品的渠道已经不再仅仅是局限于面对面的当场交易,而是开始延伸到了网络上。人们通过网络进行交易活动的现象越来越普遍,这也刺激了一大批的购物网站如雨后春笋般不断出现并发展壮大,其中就有大家耳熟能详的当当网、京东、淘宝、亚马逊等知名购物网站。网上购物已经是一种必然趋势,因此商品的快递运输也成了网上购物的主要产物。 网络的飞速发展、网上商城的逐步普及使得快递公司的市场份额逐渐扩大。顺风

相关文档
最新文档