数字逻辑北邮期末分析

数字逻辑北邮期末分析
数字逻辑北邮期末分析

1.电子电路分为模拟电子电路和数字电子电路。数值的度量采用直流电压或电流的连续值,称模拟量。

2.数字电路比模拟电路有许多优点。如:电路便于集成化、系列化生产,成本低廉,使用方便;抗干扰性强,可靠性高,精度高;处理功能强,不仅能实现数值运算,还可以实现逻辑运算和判断;可编程数字电路可容易地实现各种算法,具有很大的灵活性;数字信号更易于存储、加密、压缩、传输和再现。

3.数字量具有精度高、传输高效、易存储、易处理等优点(上升沿10%—90%)

4.自然码:有权码,每位代码都有固定权值,结构形式与二进制数完全相同,最大计数为2n-1,n为二进制数的位数

5.可靠性代码:(1) 奇偶校验码(2) 格雷码(Gray 码,又称循环码(循环码的一种)<格雷码的特点是任何相邻的两个码组中,仅有一位代码不同,抗干扰能力强,主要用在计数器中>

6.数字电路是传递和处理数字信号的电子电路。它有组合逻辑电路和时序逻辑电路两大类。

7.数字电路的优点:便于高度集成化,工作可靠性强,抗干扰能力强,保密性好等。

8.时序逻辑电路中一定包含:触发器。时序电路中必须有:时钟。从本质上讲,控制器是一种时序电路。时序逻辑电路:逻辑功能特点:任何时刻的输出不仅取决于该时刻的输入信号(输入变量)的状态,而且与电路原有的状态(原来的输出)(Qn+1 = f(Qn, input))有关。即历史状态相关性。时序逻辑电路具有记忆功能(适当的控制)

电路结构特点:由存储电路和组合逻辑电路组成。包含锁存器或触发器它的输出往往反馈到输入端,与输入变量一起决定电路的输出状态。

//时序逻辑电路的类型(都跟触发器或其组合有关)同步时序逻辑电路:所有触发器的时钟端连在一起。所有触发器在同一个时钟脉冲CP 控制下同步工作。

异步时序逻辑电路:时钟脉冲CP 只触发部分触发器,其余触发器由电路内部信号触发。因此,触发器不在同一时钟作用下同步工作。

9.一位十进制计数器至少需要4个触发器

10.锁存器、触发器和门电路是构成数字电路的基本单元。

锁存器、触发器有记忆功能,由它构成的电路在某时刻的输出不仅取决于该时刻的输入,还与电路原来状态有关。而门电路(组合电路)无记忆功能,由它构成的电路在某时刻的输出完全取决于该时刻的输入,与电路原来状态无关

11.布尔代数的三个最重要规则是代入规则,反演规则和对偶规划

12.数字量的特定是数值为离散量,运算结果也是离散量。

13.二进制系统的两个数字0和1是一个开关量,常称比特。用来表示1和0的电平称为逻辑电平。

14.自然二进制有叫有权码。循环码(又叫单位距离码):任何相邻的两个码字中,仅有一位不同。

15.二进制对十进制编码,简称BCD码。8421码(eg:1592是0001 0101 1001 0010)<当相加和大于9时加6修正,无1010~1111>余3码:在8421码的基础上加0011。优点执行十进制相加时,能正确的产生进位信号,而且会给减法运算带来方便。格雷码是使任何两个相邻的代码只有一个二进制状态不同(主要用于计数器)。格雷码是一种循环码。无权码:余 3 码和格雷码。有利于得到更好的译码波形。可靠性代码(奇偶校验码,格雷码)

16.化简的意义:使逻辑式最简,以便设计出最简的逻辑电路,从而节省元器件,优化生产工艺,降低成本和提高系统可靠性。

17.逻辑函数的描述工具:布尔代数{(布尔代数中的变量称为逻辑变量)<0和1代表两种对立的逻辑状态>};真值表(n变量,2^n种可能);逻辑图法();卡诺图法(变量数基本上少于5);波形图;硬件描述语言法。

18.正逻辑,负逻辑,三态门(逻辑1,逻辑0,高阻抗)<使能端有效时(逻辑1)输出状态取决于输入状态>

19.卡诺图

01 0

1

00011110 0

1

A

BC

AB

CD

B

A

00

01

11

10

00011110

m m m m

m m m m

m m

m m

01

23

01122

3

3m

m

m

m

m

m

m

m

m

m

m

m

m

m

m

m

456

7

8910

11

12131415

16.

符号

17.组合逻辑电路的特点:任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。组合逻辑电路由门电路组成。

18.Multiplexer多路(复用)器; 多工器网络:(多路选择器);多路转换器; 多路复用器; 复用器(支持一个输入端能允许多个输出端)

19.De-multiplexer(多路)信号分离器,多路输出选择器网络:解复用器; 多路分配器; 数据分配器

20.集成数据选择器的种类很多,常见的有:1位数据选择器——从“1组”输入数据中选择1路进行传输。

例如:8选1(如CT54LS151)、16选1(CT74LS150)等。 N 位数据选择器——从“N 组”输入数据中“各选”1路进行传输。<2位(双位)4选1数据选择器(如74LS153),表示从2组4路输入数据中各选择1路数据进行传输;4位2选1数据选择器(如74LS157),表示从4组2路输入数据中各选择1路数据进行传输;等等。>

21. 用数据选择器实现组合逻辑函数:由于数据选择器在输入数据全部为 1 时,输出为地址输入变量全体最小项的和。而任何一个逻辑函数都可表示成最小项表达式,因此用数据选择器可实现任何组合逻辑函数。当逻辑函数的变量个数和数据选择器的地址输入变量个数相同时,可直接将逻辑函数输入变量有序地接数据选择器的地址输入端

22.[例] 试用数据选择器实现函数F ABC ABC AB =++

解:先求出F 的最小项表达式为3567F ABC ABC AB ABC ABC ABC ABC

m m m m =++=+++=+++

因为函数有A 、B 、C 三个逻辑变量,可选用1片8选1 数据选择器。

如果令8选1 MUX 的地址变量为逻辑变量,即A2A1A0=ABC,则由真值表可知:只要令 D3=D5=D6=D7=1

D0=D1=D2=D4=0

就可用8选1MUX 来产生上述函数了,其逻辑图如下。

D 1D 6

D 7S

F=ABC+ABC+AB

CT54LS151D 2D 4D 5D 0A 0A 1A 2A

B

C

"1"D 3

23.地址变量数n 小于逻辑变量数m 的函数产生器?

要用n 个地址变量来反映m 个变量函数的最小项,则必定会在函数的最小项中缺少(m -n)个因子,这种情况下可让Di 作所缺的因子,也即缺少的(m -n)个因子在数据输入端Di 中体现。这样就可用此MUX 来产生此类逻辑函数了。当然,从N 中选出的n 个变量不同时,MUX 输入端的连接方式也会不同。

24.优先编码器原理:不同于普通编码器: 它允许多个输入线上同时有信号。

如何解决混乱?

答:按优先顺序进行排队,仅对优先级别最高的输入信号编码。74LS148是8:3线优先编码器:

25.加法器小结

能对两个1位二进制数进行相加而求得和及进位(不考虑低位来的进位)的逻辑电路称为半加器。

能对两个1位二进制数进行相加并考虑低位来的进位,即相当于3个1位二进制数的相加,求得和及进位的逻辑电路称为全加器。

实现多位二进制数相加的电路称为加法器。按照进位方式的不同,加法器分为串行进位加法器和超前进位加法器两种。串行进位加法器电路简单、但速度较慢,超前进位加法器速度较快、但电路复杂。

加法器除用来实现两个二进制数相加外,还可用来设计代码转换电路、二进制减法器和十

进制加法器等。

26.数值比较器小结

在各种数字系统尤其是在计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。

用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。

利用集成数值比较器的级联输入端,很容易构成更多位数的数值比较器。数值比较器的扩展方式有串联和并联两种。

27.奇偶校验的基本原理

什么是奇偶校验器?

利用奇(偶)校验方法进行检错的组合逻辑电路称为奇偶校验器。

原理:根据代码中全部位数叠加累计入一位的“和”来进行奇校验或偶校验。

“和”操作的特点:偶数个1,它的和总是0;奇数个1,它的和总是1。

28.二章小结

//组合逻辑电路指任一时刻的输出仅取决于该时刻输入信号的取值组合,而与电路原有状态无关的电路。它在逻辑功能上的特点是:没有存储和记忆作用;在电路结构上的特点是:由种门电路组成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。

//组合逻辑电路的描述方法主要有逻辑表达式、真值表、卡诺图和逻辑图等。

组合逻辑电路的基本分析方法是:根据给定电路逐级写出输出函数式,并进行必要的化简和变换,然后列出真值表,确定电路的逻辑功能

//组合逻辑电路的基本设计方法是:根据给定设计任务进行逻辑抽象,列出真值表,然后写出输出函数式并进行适当化简和变换,求出最简表达式,从而画出最简(或称最佳)逻辑电路。//以逻辑门为基本单元的电路设计,其最简含义是:逻辑门数目最少,且各个逻辑门输入端的数目和电路的级数也最少,没有竟争冒险。

//以MSI 组件为基本单元的电路设计,其最简含义是:MSI 组件个数最少,品种最少,组件之间的连线最少。MSI: 中规模集成电路

//用于实现组合逻辑电路的MSI 组件主要有译码器和数据选择器。

//数据选择器、数据分配器、编码器、译码器、数值比较器、和加法器等是常用的MSI 组合逻辑部件

//数据选择器的作用是根据地址码的要求,从多路输入信号中选择其中一路输出。

//数据分配器的作用是根据地址码的要求,将一路数据分配到指定输出通道上去

//编码器的作用是将具有特定含义的信息编成相应二进制代码输出,常用的有二进制编码器、二-十进制编码器和优先编码器。

//译码器的作用是将表示特定意义信息的二进制代码翻译出来,常用的有二进制译码器、二-十进制译码器和数码显示译码器。

//数值比较器用于比较两个二进制数的大小

//加法器用于实现多位加法运算,其单元电路有半加器和全加器;其集成电路主要有串行进位加法器和超前进位加法器。

//同一个门的一组输入信号到达的时间有先有后,这种现象称为竞争。竞争而导致输出产生尖峰干扰脉冲的现象,称为冒险。竞争冒险可能导致负载电路误动作,应用中需加以注意。

28.锁存器的特点

锁存器的触发方式为电平触发式指触发脉冲信号控制锁存器工作的方式

EN = 1 期间翻转的称正电平触发式;(与触发器上升边沿相似??)

EN = 0 期间翻转的称负电平触发式。()锁存器的共同缺点是存在空翻触发脉冲作用期

间,输入信号发生多次变化时,锁存器输出状态也相应发生多次变化的现象称为空翻。空翻可导致电路工作失控?

29.8421BCD译码器的数据输入线与译码器输出线组合是:4:10.

30.数字比较器(comp)只能输出A>B和A=B<这二者是低电平> A

31.一位全加器(FA)的输入信号:Ai:加数,Bi:被加数,Ci-1:进位信号,输出信号:Si:和数,Ci:向高位进位信号。

32.串行加法器进位信号采用逐位传递,而并行加法器进位信号采用超前传递。

33.MUX:数据选择器DMUX:数据分配器

34.锁存器基本特性:输出端的互补:两个互补的输出端Q 和Q’;输出端的两个稳定状态:简称稳态,正好用来表示逻辑0 和1;输出端的两个稳定状态可相互转换(翻转):在输入信号作用下,锁存器的两个稳定状态可相互转换(称为状态的翻转);输出端的新状态可长期保持:输入信号消失后,新状态可长期保持下来,因此具有记忆功能,可存储二进制信息。一个锁存器可存储1 位二进制数码

35.基本SR 锁存器的优缺点.优点:电路简单,是构成各种锁存器的基础.缺点:输出受输入信号直接控制,不能定时控制.有约束条件

36.门控SR锁存器简介:有时候锁存器的工作状态不仅要由输入信号决定,而且要求在一定的控制信号下工作。为此,需要增加一个控制端EN。EN 即使能信号,只有在EN = 1高电平时,锁存器才允许接受数据输入信号。具有使能信号控制的锁存器称为门控锁存器。

37.触发器基本特性:触发器Flip -Flop,简写为FF,又称同步双稳态触发器。同步是指触发器的记忆状态按时钟脉冲(CLK)规定的起动指示点(脉冲边沿)来改变。有两个稳定状态(简称稳态),正好用来表示逻辑0 和1。在输入信号作用下,触发器的两个稳定状态可相互转换(称为状态的翻转)。输入信号消失后,新状态可长期保持下来,因此具有记忆功能,可存储二进制信息。一个触发器可存储1 位二进制数码

38.边沿触发器的特点:在时钟为稳定的0或1期间,输入信号都不能进入触发器,触发器的新状态仅决定于时钟脉冲有效边沿到达前一瞬间以及到达后极短一段时间内的输入信号.能够触发变化的时间极大缩短,故而抗干扰性能强(来自输入变化波动的干扰)。

39.触发器vs. 锁存器:

//电路结构:触发器包含一个称为时钟的控制信号,和其他信号一起控制电路的状态。锁存器有时包含使能控制信号。

//工作特点:触发器只能在CP 上升沿(或下降沿)时刻接收输入信号,因此,电路状态只能在CP 上升沿(或下降沿)时刻翻转。这种触发方式称为边沿触发式。锁存器在使能控制信号发生干扰时可能引起空翻??。

//电路结构和工作特点不同,因此电路功能不同。为保证电路正常工作,要求锁存器的使能控制信号??在EN = 1 期间保持不变;而边沿触发器没有这种限制,其功能较完善,因此应用更广。

40.触发器可以在时钟脉冲的正沿(上升沿)改变状态,也可以在时钟脉冲的负沿(下降沿,只能选一种,不能两种都选)改变状态。

41.D锁存器vs. D触发器:

D锁存器:“电平触发”,在EN=1 时,D输入“上升沿”和“下降沿”都驱动Q变化,有空翻! D触发器:“边沿”触发,CLK“上升沿”触发驱动Q变化,没有空翻!

42.触发器的类型:SR 触发器、D 触发器、JK 触发器。

43.SR 触发器:SR 触发器是构成D 触发器和JK 触发器的基础。SR 触发器与门控SR 锁存器不同:它有一个窄脉冲转换器。其功能是对应时钟脉冲的上升沿而产生一个持续时间很短的窄脉冲,称尖锋脉冲。

44.D触发器以SR触发器为基础区别在于:增加了一个非门,变为单输入端D;S和R不会同时为高,避免了SR触发器不稳定问题。如果用CLK表示时钟,且CLK=1时,D触发器特征方程为:Qn+1=D?CLK=D.

45.JK 触发器:JK 触发器功能同SR 触发器类似,也是双输入,JK 触发器主要改进:解决SR 触发器不稳定问题。

46.触发器小结:

//触发器和门电路是构成数字系统的基本逻辑单元。前者具有记忆功能,用于构成时序逻辑电路.后者没有记忆功能,用于构成组合逻辑电路。

//触发器有两个基本特性:有两个稳定状态;在外信号作用下,两个稳定状态可相互转换,没有外信号作用时,保持原状态不变。因此,触发器具有记忆功能,常用来保存二进制信息。一个触发器可存储1 位二进制码,存储n 位二进制码则需用n 个触发器。

//触发器的逻辑功能:是指触发器的次态与现态及输入信号之间的逻辑关系

//触发器描述方法:主要有功能表、状态方程、驱动表、状态转换图和波形图(又称时序图)等。

//不同触发方式的工作特点:使能端正电平有效的锁存器状态在CP (EN) = 1期间翻转??,在CP = 0 期间保持不变。锁存器的缺点是存在空翻现象,通常只能用于数据锁存??。

//分析触发器时应弄清楚:触发器的功能、触发方式和触发沿(或触发电平),并弄清楚异步输入端是否加上了有效电平。

47.寄存器:由若干个正沿D触发器构成的一次能存储多位二进制代码的时序逻辑电路,叫寄存器.(输出采用三态门控制,因而适合于挂接在数据总线上。常用的寄存器大多由D触发器构成。)

48.移位寄存器:在时钟信号控制下,将所寄存的数据向左或向右移位的寄存器称为移位寄存器。分类:按移位方向、数据串行、并行传送方式不同,移位寄存器的结构有7类组合,见CAI演示。

49.

1)通用移位寄存器功能:并行置数、保持、左移、右移四种功能。

(2)逻辑结构:以8位通用移位寄存器74LS299为例,其逻辑结构见图,通用移位寄存器用途十分广泛,累加寄存器、缓冲寄存器、乘除部件中寄存器。

应用中,不外乎采用四种工作方式:串入--串出、串入--并出、并入--串出、并入--并出。50.触发器是构成复杂时序逻辑电路最基本的组成单元。它的应用主要包括以下方面:

用作并行数据寄存器;用作计数器;用作分频器;用作时序脉冲产生器;用作控制器

51.计数器分类方法:按时钟控制方式不同分(异步计数器,同步计数器<同步计数器比异步计数器的速度快得多>);按计数增减分;按计数进制分.

52.计数器的作用与分类:计数器(Counter)用于计算输入脉冲个数,还常用于分频、定时等。

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

北邮数字逻辑期中试题及参考答案全新

北京邮电大学 《数字电路与逻辑设计》期中考试试题 2015.4.11 班级姓名班内序号 题号一二三四五六七八总成绩 分数20 12 10 10 10 20 10 8 得分 注意:所有答案(包括选择题和计算题)一律写在试卷纸上,如果卷面位置不够,请写在试卷的背后,否则不计成绩。 一、(每题1分,共20分)判断(填√或×)、单项选择题 (请先在本试卷上答题之后,将全部答案汇总到本题末尾的表格中。) 1.ECL逻辑门与TTL门相比,主要优点是抗干扰能力强。(╳)2.CMOS门电路在使用时允许输入端悬空,并且悬空的输入端相当于输入逻辑“1”。( ╳ ) 3.若对4位二进制码(B 3B 2 B 1 B )进行奇校验编码,则校验位C= B 3 ⊕B 2 ⊕B 1 ⊕B ⊕1。 (√) 4.根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电平匹配不存在问题(√) 5. 根据表1-1,用CMOS4000系列的逻辑门驱动TTL74系列的逻辑门,驱动门与负载门之间的电流驱动能力不存在问题(╳) 表1-1常用的TTL和CMOS门的典型参数

6.当i j ≠时,必有两个最小项之和+0i j m m =。(╳) 7. CMOS 门电路的静态功耗很低,但在输入信号动态转换时会有较大的电流,工作频率越高,静态功耗越大。(╳) 8. 逻辑函数的表达式是不唯一的,但其标准的最小项之和的表达式是唯一的。(√) 9.用数据分配器加上门电路可以实现任意的逻辑函数。( √ ) 10.格雷BCD 码具有单位距离特性(任意两个相邻的编码之间仅有一位不同)且是无权代码。(√) 11.关于函数F A C BCD AB C =++g ,下列说法中正确的有 B 。 A. 不存在冒险; B. 存在静态逻辑冒险,需要加冗余项ABD 和ACD 进行消除; C. 存在静态功能冒险,需要加冗余项ABD 和ACD 进行消除; D. 当输入ABCD 从 0001→0100变化时存在静态逻辑冒险。 12.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 D 。 A.F G = B.0F G += C.1F G =g D.0F G =e 13.若逻辑函数∑=)6,3,2,1(),,(m C B A F ,∑=)7,5,4,3,2,0(),,(m C B A G ,则 =?G F A 。 A.32m m + B.1 C.AB D.AB 14.若干个具有三态输出的电路输出端接到一点工作时,必须保证 B 。 A.任何时刻最多只能有一个电路处于高阻态,其余应处于工作态。 B.任何时刻最多只能有一个电路处于工作态,其余应处于高阻态。 C.任何时刻至少有一个电路处于高阻态,其余应处于工作态。 D.任何时刻至少有一个电路处于工作态,其余应处于高阻态。 15.可以用来传输连续变化的模拟信号的电路是 D 。 A. 三态输出的门电路。; B. 漏极开路的CMOS 门电路; C. ECL 门电路; D. CMOS 传输门 16.逻辑表达式[()]F AB C D E B =++?的对偶式为 B 。

北邮大学英语3-期末考试总复习题阶段作业一、二、三汇总,考试必备你懂的

大学英语3词汇选择练习题 第一单元选择题 1. It __________that the necklace was made of glass. A. turned out B. made out C. looked out D. took out 解析:该题选A,题目大意是“原来那串项链是用玻璃做的”。 turn out: 结果是;证明是 The party turned out to be very successful. 晚会结果开得很成功。 2. ___________, he can finish the work in a couple of weeks. A. Giving good health B. If give good health C. Given good health D. If he is good given health 解析:该题选C,题目大意是“倘若身体好,他能在一两周内完成这项工作”。given 引导方式状语,意为“倘若,假设,考虑到”。如: 1. Given their inexperience, the y’ve done a good job.考虑到他们缺乏经验,他们 的工作已经做得不错了。 2. Given some more time, I would do the job better.假如时间再多些,我能把工作 做得更好。 3. Given good health, the old lady can look after her grand-daughter for her son.假 如身体好的话,这位老太太能帮她儿子照看孙女。 3. ___________ to speak at the meeting, I couldn’t very well refuse. A. Called up B. Called off C. Called at D. Called on 解析:该题选D,题目大意是“要让我在会上发言,我是不会拒绝的”。 call on sb. to do st h:invite/require sb. to do sth.请/要求某人做某事 1. A teacher can call on individual students to compose similar questions. 老师可以要求每个学生提出类似的问题。 2. The chairman called on his people to organize so that they could be more powerful.主席号召他的民众组织起来,这样才能更有力量。 4. The poor police had never __________ of winning. A. made a chance B. took a chance C. stood a chance D. kept a chance 解析:该题选C,题目大意是“可怜的警察毫无胜诉的机会”。 stand a chanc e:have a prospect (of sth.) 有…希望 1. stand a chance of winning the game有可能赢得这场比赛 2. I think you stand a good chance of being elected president.我认为你极有可能 当选为公司总裁。 3. Weak and lame in one leg, he never stood a chance of getting the job of taxi-driver.由于身体虚弱,并且有一条跛腿,他从未有机会得到出租车司机的工作。 5. If our neighbor continues to refuse to keep his dog under control, we have to take him to ___________. A. solicitor B. brush C. prisoner D. court 解析:该题选D,题目大意是“如果我们的邻居仍然拒绝看管好他的狗,我们就不得不法庭上见了”。 take sb. to court:控告某人,对某人提出诉讼 1. If you don't pay up, I'll take you to court. 如果你不还清欠款, 我就到法院告

北邮计算机网络期末考试样题

《计算机网络》期末考试样题 一.单项选择题(共15分,每题1分) 1.()下列关于ADSL描述哪个是错误的 A. 实现了全双工通信,在两个方向上的传输速率可以不同 B. 使用基带传输方案,不需要像MODEM那样对数据进行调制, 所以ADSL一般比MODEM提供更高的通信速率 C. ADSL通信与普通电话机的语音通信使用完全相同的传输介质 D. ADSL仅仅是一个物理层标准 2.()在有传输误码的数据信道上传输数据,下列哪种方法不能正确地 实现链路层的成帧处理 A. 字符计数法 B. 字节填充法 C. 比特填充法D.物理层编码违例法 3.()如果用户计算机通过电话网接入因特网,则用户端必须具有: A. NAT网关 B. 以太网交换机 C. 集线器 D. 调制解调器 4.()链路层协议采用选择重传滑动窗口协议,其中数据帧编号采用8 比特,发送窗口的最大值是: A.256 B. 255 C. 128 D. 127 5.()以下哪个是正确的以太网地址 A. B. e0-2b-37 C. 00-30-2c-45-bc-2d D. 8000::126:376e:89bc:5c2e 6.()IP路由器属于哪一层的互连设备 A.物理层 B. 链路层 C. 网络层 D. 传输层 7.()下列哪种指标不是用来衡量网络服务质量(QoS)的主要指标 A.分组延迟时间B.到达抖动时间 C.分组生存时间 D. 分组传输带宽 8.()某同学在校园网访问因特网,从该同学打开计算机电源到使用 命令ftp 连通文件服务器的过程中,哪个协议没有使用到 A.IP B.ICMP C.ARP D. DHCP 9.()某主机的IP地址为子网掩码为,当这台主机在子网内发送广播 数据报时,IP数据报中的源地址为 A. B. 10.C. D. ()某校分给数学教研室的IP地址块为,分配给 外语教研室的地址块为,分配给物理教研室的地址块为。这三个地址块经过聚合后的地址块为: 11.A. B. D. ()关于TCP/IP协议特点的描述中,错误的是 A. IP提供尽力而为的服务,无法保证数据可靠到达 B. TCP是面向连接的传输协议 C. UDP是可靠的传输协议 D. TCP/IP协议可以运行于多种操作系统 12.()在TCP/IP网络中,转发路由器对IP数据报进行分片的目的是: A. 提高路由器的转发效率

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

数字逻辑课程设计(定时器)

一.内容摘要: 定时器的设计: 设计一个0~60分钟之内的定时器,定时开始的时候红指示灯亮,结束的时候绿指示亮,可以随意以分钟为单位,在六十分钟的范围内设定定时时间,随着定时的开始,显示器开始显示时间,即依次显示出0,1,2,3,4….直到定时结束,当定时结束的时候进行手动清零。首先设计一个秒脉冲发生器,一个计数电路,一个比较电路,然后对电路进行输出。当开始定时之前手动对要定时的时间进行预置数,然后运用秒脉冲发生器输入脉冲,用计数器对脉冲的个数进行计数,把编码器的数据与脉冲的个数通过数值比较器进行比较,最后按照要求进行红绿等输出表示定时的状态是正在进行定时,或者是已经定时结束,在定时的过程中显示定时的时间。 二.方案的论证与选择: 方案1 例如设计一个六十分钟的定时器,就需要六十进制的分钟计数器。设计秒脉冲发生器,当计数器完成六十分钟的记数时,就手动清零。需要设定其他的时间时, 只需将计数器的进制改变一下就行。这个方案只适用于特定的定时器,设定的时间 不变。如果本课设用此方案,就需要设计从1——60进制的计数器,工程量太大。 方案2, 设计一个定时器,可以在0~60分之间一分钟为单位任意可调,定时开始的时候红灯亮,定时结束的时候绿灯亮,定时结束之后手动清零,满足设计的要求,故本次课程设计中采用的是这种设计方案。

三.总设计思想框图: 总体的完整电路图: 就是将各个单元电路用导线连接起来,然后进行仿真处理,开始进行定时的时候红指示灯亮。图中所示的是定时为16分钟的定时仿真结果,完整的电路图。

2.5 V 图2 四.单元电路的设计与参数的计算 1.秒脉冲发生器的选择: (1)采用石英晶体的多谐振荡器,在RC环形振荡器电路中,接入RC可以获得较小 的频率,而且通过RC的调节可以调节频率,用于对频率稳定性要求比较高的电路,

北邮英语试题答案(2)

一、阅读理解(共1道小题,共50.0分) 1.Robert Bruce was a famous Scottish general. In the early 14th century he tried to drive the English out of Scotland, but he was not successful because the English were too strong. Finally, Bruce had to run away and hide in a cave. One day, he lay in his cave thinking of the sad state of Scotland. A spider began to make a web above his head. Simply to pass the time, Bruce broke the web. Immediately the spider began to make a new one. Six times Bruce broke the web and six times the spider immediately made a new one. Bruce was surprised at this. He told himself that he would break the web a 7th time. If the spider made a new one, it would be a good lesson to him, for like the spider, he had been defeated six times. Bruce then broke the web. Again the spider made a new one. From this simple fact, Bruce became encouraged. He again got an army together. This time he was successful and drove the English out of Scotland. 1. Who was Robert Bruce? A. He was an English general. B. He was a Scottish general. C. He was a spider researcher D. He was a biologist from Scotland. 2. Why did Bruce hide in a cave? A. Because he was defeated by the English. B. Because he was afraid of the English army. C. Because he was looking for spiders D. Because he was badly injured in the battle. 3. In the beginning he broke the spider web just because______.

北邮微波实验报告整理版

北京邮电大学信息与通信工程学院 微波实验报告 班级:20112111xx 姓名:xxx 学号:20112103xx 指导老师:徐林娟 2014年6月

目录 实验二分支线匹配器 (1) 实验目的 (1) 实验原理 (1) 实验内容 (1) 实验步骤 (1) 单支节 (2) 双支节 (7) 实验三四分之一波长阻抗变换器 (12) 实验目的 (12) 实验原理 (12) 实验内容 (13) 实验步骤 (13) 纯电阻负载 (14) 复数负载 (19) 实验四功分器 (23) 实验目的 (23) 实验原理 (23) 实验内容 (24) 实验步骤 (24) 公分比为1.5 (25) 公分比为1(等功分器) (29) 心得体会 (32)

201121111x 班-xx 号-xx ——电磁场与微波技术实验报告 实验二 分支线匹配器 实验目的 1.熟悉支节匹配器的匹配原理 2.了解微带线的工作原理和实际应用 3.掌握Smith 图解法设计微带线匹配网络 实验原理 支节匹配器是在主传输线上并联适当的电纳(或者串联适当的电抗),用附加的反射来抵消主传输线上原来的反射波,以达到匹配的目的。 单支节匹配器,调谐时主要有两个可调参量:距离d 和由并联开路或短路短截线提供的电纳。匹配的基本思想是选择d ,使其在距离负载d 处向主线看去的导纳Y 是Y0+jB 形式。然后,此短截线的电纳选择为-jB ,根据该电纳值确定分支短截线的长度,这样就达到匹配条件。 双支节匹配器,通过增加一个支节,改进了单支节匹配器需要调节支节位置的不足,只需调节两个分支线长度,就能够达到匹配(但是双支节匹配不是对任意负载阻抗都能匹配的,即存在一个不能得到匹配的禁区)。 微带线是有介质εr (εr >1)和空气混合填充,基片上方是空气,导体带条和接地板之间是介质εr ,可以近似等效为均匀介质填充的传输线,等效介质电常数为 εe ,介于1和εr 之间,依赖于基片厚度H 和导体宽度W 。而微带线的特性阻抗与其等效介质电常数为εe 、基片厚度H 和导体宽度W 有关。 实验内容 已知:输入阻抗Z 75in ,负载阻抗Z (6435)l j ,特性阻抗0Z 75 ,介质基片 2.55r ,1H mm 。 假定负载在2GHz 时实现匹配,利用图解法设计微带线单支节和双支节匹配网络,假设双支节网络分支线与负载的距离114d ,两分支线之间的距离为21 8 d 。画出几种可能的电路图并且比较输入端反射系数幅度从1.8GHz 至2.2GHz 的变化。 实验步骤 1.根据已知计算出各参量,确定项目频率。 2.将归一化阻抗和负载阻抗所在位置分别标在Smith 圆上。 3.设计单枝节匹配网络,在图上确定分支线与负载的距离以及分支线的长度,根据给定的介质基片、特性阻抗和频率用TXLINE 计算微带线物理长度和宽度。此处应该注意电长度和实际长度的联系。 4.画出原理图,在用微带线画出基本的原理图时,注意还要把衬底添加到图中,将各部分的参数填入。注意微带 分支线处的不均匀性所引起的影响,选择适当的模型。 5.负载阻抗选择电阻和电感串联的形式,连接各端口,完成原理图,并且将项目的频率改为1.8—2.2GHz 。 6.添加矩形图,添加测量,点击分析,测量输入端的反射系数幅值。 7.同理设计双枝节匹配网络,重复上面的步骤。

北邮大学英语3第二次阶段作业

北邮大学英语3第二次阶段作业 一、完形填空(共1道小题,共50.0分) 1.Many years ago there was a poor man. He had an orange tree 1 his garden. On the tree there were many fine oranges. 2 he found one 3 his oranges was much bigger 4 the others. It was as 5 as a football. Nobody had ever seen 6 orange. The poor man took the orange to the king. The king was so happy ___7 __he gave the man a lot of money for it. When a rich man heard of it, he said to hi mself, “It's only an orange. Why has the king given so much money 8__ it? I'II take my gold cup to the king. He'll give me 9 money.” The next day when the king received the gold cup, he said to the rich man, 'What a beautiful cup! I'll show you __10__ , please take this great orange." a. A.on B.in C.over D.with 学生答案: B; 标准答 案: B b. A.One day B.Yesterday C.When D.This morning 学生答案: A; 标准答 案: A c. A.for B.in

北京邮电大学概率论期末考试试卷及答案

第1章 概率论的基本概念 §1 .1 随机试验及随机事件 1. (1) 一枚硬币连丢3次,观察正面H ﹑反面T 出现的情形. 样本空间是:S= ; (2) 一枚硬币连丢3次,观察出现正面的次数. 样本空间是:S= ; 2.(1) 丢一颗骰子. A :出现奇数点,则A= ;B :数点大于2,则B= . (2) 一枚硬币连丢2次, A :第一次出现正面,则A= ; B :两次出现同一面,则= ; C :至少有一次出现正面,则C= . §1 .2 随机事件的运算 1. 设A 、B 、C 为三事件,用A 、B 、C 的运算关系表示下列各事件: (1)A 、B 、C 都不发生表示为: .(2)A 与B 都发生,而C 不发生表示为: . (3)A 与B 都不发生,而C 发生表示为: .(4)A 、B 、C 中最多二个发生表示为: . (5)A 、B 、C 中至少二个发生表示为: .(6)A 、B 、C 中不多于一个发生表示为: . 2. 设}42:{},31:{},50:{≤<=≤<=≤≤=x B x x A x x S :则 (1)=?B A ,(2)=AB ,(3)=B A , (4)B A ?= ,(5)B A = 。 §1 .3 概率的定义和性质 1. 已知6.0)(,5.0)(,8.0)(===?B P A P B A P ,则 (1) =)(AB P , (2)()(B A P )= , (3))(B A P ?= . 2. 已知,3.0)(,7.0)(==AB P A P 则)(B A P = . §1 .4 古典概型 1. 某班有30个同学,其中8个女同学, 随机地选10个,求:(1)正好有2个女同学的概率, (2)最多有2个女同学的概率,(3) 至少有2个女同学的概率. 2. 将3个不同的球随机地投入到4个盒子中,求有三个盒子各一球的概率. §1 .5 条件概率与乘法公式 1.丢甲、乙两颗均匀的骰子,已知点数之和为7, 则其中一颗为1的概率是 。 2. 已知,2/1)|(,3/1)|(,4/1)(===B A P A B P A P 则=?)(B A P 。 §1 .6 全概率公式 1. 有10个签,其中2个“中”,第一人随机地抽一个签,不放回,第二人再随机地抽一个 签,说明两人抽“中‘的概率相同。 2. 第一盒中有4个红球6个白球,第二盒中有5个红球5个白球,随机地取一盒,从中随 机地取一个球,求取到红球的概率。 §1 .7 贝叶斯公式 1. 某厂产品有70%不需要调试即可出厂,另30%需经过调试,调试后有80%能出厂,求(1) 该厂产品能出厂的概率,(2)任取一出厂产品, 求未经调试的概率。 2. 将两信息分别编码为A 和B 传递出去,接收站收到时,A 被误收作B 的概率为,

北京邮电大学通信原理软件实验报告

北京邮电大学实验报告 题目:基于SYSTEMVIEW通信原理实验报告

实验一:验证抽样定理 一、实验目的 1、掌握抽样定理 2. 通过时域频域波形分析系统性能 二、实验原理 低通滤波器频率与m(t)相同 三、实验步骤 1. 要求三个基带信号相加后抽样,然后通过低通滤波器恢复出原信号。 2. 连接各模块完成系统,同时在必要输出端设置观察窗。 3. 设置各模块参数。 三个基带信号的频率从上到下分别设置为10hz、12hz、14hz。 抽样信号频率设置为28hz,即2*14hz。(由抽样定理知,) 将低通滤波器频率设置为14hz,则将恢复第三个信号(其频率为14hz)进行系统定时设置,起始时间设为0,终止时间设为1s.抽样率设为1khz。 3.观察基带信号、抽样后的信号、最终恢复的信号波形

四、实验结果 最上面的图为原基带信号波形,中间图为最终恢复的信号波形,最下面的图为抽样后的信号波形。 五、实验讨论 从实验结果可以看出,正如前面实验原理所述,满足抽样定理的理想抽样应该使抽样后的波形图如同冲激信号,且其包络图形为原基带信号波形图。抽样后的信号通过低通滤波器后,恢复出的信号波形与原基带信号相同。 由此可知,如果每秒对基带模拟信号均匀抽样不少于2次,则所得样值序列含有原基带信号的全部信息,从该样值序列可以无失真地恢复成原来的基带信号。 讨论:若抽样速率少于每秒2次,会出现什么情况? 答:会产生失真,这种失真被称为混叠失真。 六、实验建议、意见 增加改变抽样率的步骤,观察是否产生失真。

实验二:奈奎斯特第一准则 一、实验目的 (1)理解无码间干扰数字基带信号的传输; (2)掌握升余弦滚降滤波器的特性; (3)通过时域、频域波形分析系统性能。 二、实验原理 在现代通信系统中,码元是按照一定的间隔发送的,接收端只要能够正确地恢复出幅度序列,就能够无误地恢复传送的信号。因此,只需要研究如何使波形在特定的时刻无失真,而不必追求整个波形不变。 奈奎斯特准则提出:只要信号经过整形后能够在抽样点保持不变,即使其波形已经发生了变化,也能够在抽样判决后恢复原始的信号,因为信息完全恢复携带在抽样点幅度上。 奈奎斯特准则要求在波形成形输入到接收端的滤波器输出的整个传送过程传递函数满足:,其充分必要条件是x(t)的傅氏变换X ( f )必须满足 奈奎斯特准则还指出了信道带宽与码速率的基本关系。即R B =1/T B =2? N =2B N。 式中R b 为传码率,单位为比特/每秒(bps)。f N 和B N 分别为理想信道的低通截止 频率和奈奎斯特带宽。上式说明了理想信道的频带利用率为R B /B N =2。 在实际应用中,理想低通滤波器是不可能实现的,升余弦滤波器是在实际中满足无码间干扰传输的充要条件,已获得广泛应用的滤波器。 升余弦滤波器的带宽为:。其中,α为滚降系数,0 ≤α≤1, 三、实验步骤 1.根据奈奎斯特准则,设计实现验证奈奎斯特第一准则的仿真系统,同时在必 要输出端设置观察窗。设计图如下

555简易电子琴数字逻辑课程设计报告 正文

目录 第一章系统概述 (1) 1.1 系统开发背景 (1) 1.2 系统开发意义 (1) 1.3 EWB在数字电子电路综合课程设计中的应用 (1) 第二章555简易电子琴设计 (2) 2.1 设计题目 (3) 2.2 设计的目的与要求 (3) 2.3 分析任务 (3) 2.3.1设计总开关模块 (3) 2.3.2设计控制模块 (3) 2.3.3设计琴键模块 (3) 2.3.4设计扬声器模块 (3) 2.4 需用器件的选择 (3) 2.4.1 555定时器 (3) 2.4.2 电容 (6) 2.4.3 电阻 (6) 2.5 总体说明 (6) 2.6 单元模块 (6) 第三章555简易电子琴的实现 (8) 3.1 单元模块的实现 (8) 3.2 电子琴的完整电路设计 (9) 3.3 参考文献 (17)

第一章系统概述 1.1系统开发背景 随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子 技术发展的趋势。19世纪兴起的数字电路以其先天的便捷、稳定的优点在 现代电子技术电路中占有越来越重要的地位。 数字电路与模拟电路相比有显而易见的稳定性。近年来,数字电路又有了巨大的发展。可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。 随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。新世 纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。新世纪 里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。 可以展望,由数字构成的新世界即将出现。将是人类文明的又一飞跃。 1.2系统开发意义 555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。555简易电子琴从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做555简易电子琴就是为了了解555定时器的原理,从而学会制作555简易电子琴,而且通过555简易电子琴的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。 1.3EWB在数字电子电路综合课程设计中的应用

北京邮电大学 数字逻辑期末模拟试题8

本科试卷(八) 一、选择题(每小题2分,共30分) 1.逻辑函数F1=∑m (2,3,4,8,9,10,14,15), 它们之间的关系是________。 A . B . C . D .、互为对偶式 2. 最小项的逻辑相邻项是________。 A .ABCD B. C. D. 3. 逻辑函数F (ABC )=A ⊙C 的最小项标准式为________。 A.F=∑(0,3) B. C.F=m 0+m 2+m 5+m 7 D. F=∑(0,1,6,7) 4. 一个四输入端与非门,使其输出为0的输入变量取值组合有_______种。 A. 15 B. 8 C. 7 D. 1 5. 设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要_______个异或门。 A .2 B. 3 C. 4 D. 5 6. 八路数据选择器如图1-1所示,该电路实现的逻辑函数是F=______。 A . B . C . D . 图1-1 7. 下列电路中,不属于时序逻辑电路的是_______。 A .计数器 B .触发器 C .寄存器 D .译码器 8. 对于JK 触发器,输入J=0,K=1,CP 脉冲作用后,触发器的次态应为_____。 A .0 B. 1 C. 保持 D. 翻转 9. Moore 型时序电路的输出_____。 A.与当前输入有关 B. 与当前状态有关 C. 与当前输入和状态都有关 D. 与当前输入和状态都无关 2F ABC ABCD ABC ABC ACD =++++12F F =12F F =12F F =1F 2F ABCD ABCD ABCD ABCD C A C A F +=AB AB +AB AB +A B ⊕A B +

北邮英语试题答案 (3)

一、完形填空(共1道小题,共50.0分) 1.Many years ago there was a poor man. He had an orange tree 1 his garden. On the tree there were many fine oranges. 2 he found one 3 his oranges was much bigger 4 the others. It was as 5 as a football. Nobody had ever seen 6 orange. The poor man took the orange to the king. The king was so happy ___7 __he gave the man a lot of money for it. When a rich man heard of it, he said to himself, “It's only an orange. Why has the king given so much money 8__ it? I'II take my gold cup to the king. He'll give me 9 money.” The next day when the king received the gold cup, he said to the rich man, 'What a beautiful cup! I'll show you __10__ , please take this great orange." a. A.on B.in C.over D.with 学生答案: B; 标准答 案: B b. A.One day B.Yesterday C.When D.This morning 学生答案: A; 标准答 案: A c. A.for B.in C.of D.among

北邮-《服务营销管理》-期末考试复习题

《服务营销管理》期末复习题 一、填空: 1.服务感知的内容一般包括(可靠性、反应性、有形性、保证性)和移情性(关怀性)五个层面的服务质量。 2.服务产品具有区别于实物产品的4个主要特点,具体包括无形性、差异性、不可储存性、不可分性。 3.与实物产品质量相比,服务质量的特点是(服务质量的主观性、服务质量的过程性、服务质量的整体性) 4.服务蓝图在结构上由__4___个区域和__3___条分界线组成。将顾客活动区域与前台活动区域之间的分界线称为__交际线__;将前台活动区域与后台活动区域之间的分界线称为_能见度界线___。 5.服务中间商主要有(特许服务商,服务代理商)和(服务经纪人)三种类型,服务机构管理中间商的策略可以有三种,包括(控制策略、授权策略、合作策略) 6.服务时间调节包括(调整服务时间、建立预订系统、告示高峰时间、灵活的用工制度)以及全天候营销和假日营销。 7.服务营销组合新增的三个营销要素是(人员,过程,有形提示) 8.根据期望水平的高低可以将服务期望分为(理想服务,宽容服务,合格服务)三大类。 9.宽容的服务是指顾客心目中介于(期望服务与合格服务)之间的服务。 10.关系营销的策略有(财务性关系营销、社交性关系营销、结构性关系营销) 11.服务创新的类型包括(全新型服务创新,替代型服务创新,延伸型服务创新,拓展型服务创新,改进型服务创新,包装型服务创新) 二、名词解释: 1.服务期望:服务期望是指顾客心目中服务应达到和可达到的水平。 根据期望水平的高低可以将服务期望分为理想服务,宽容服务,合格服务三大类。 理想的服务是指顾客心目中向往的较高水平的服务。 合格服务是指顾客心目中能接受的最低水平的服务。 宽容服务是指顾客心目中介于理想服务和合格服务之间的服务。 2.服务感知:是指在服务过程中顾客对服务质量的感觉、认知和评价。 3.有形提示(有形实据):是指服务过程中能被顾客直接感知和提示服务信息的有形物,主要是指服务场所及其环境、服务设施、服务工具、服务用品等。 4.理想服务:是指顾客心目中向往的较高水平的服务。 5.合格的服务:合格服务是指顾客心目中能接受的最低水平的服务。

北邮arduino实验报告

电子电路综合实验设计 实验名称: 基于 Arduino 的电压有效值测量电路设计与实现 学院: 班级: 学号: 姓名: 班内序号:

实验 基于Arduino 的电压有效值测量电路设计与实现 一. 摘要 Arduino是一个基于开放原始码的软硬件平台,可用来开发独立运作、并具互动性的电子产品,也可以开发与PC 相连的周边装置,同时能在运行时与PC 上的软件进行交互。为了测量正弦波电压有效值,首先我们设计了单电源供电的半波整流电路,并进行整流滤波输出,然后选择了通过Arduino设计了读取电压有效值的程序,并实现使用此最小系统来测量和显示电压有效值。在频率和直流电压幅度限定在小范围的情况下,最小系统的示数基本和毫伏表测量的值相同。根据交流电压有效值的定义,运用集成运放和设计的Arduino最小系统的结合,实现了运用少量元器件对交流电压有效值的测量。 关键字:半波整流整流滤波 Arduino最小系统读取电压有效值 二. 实验目的 1、熟悉Arduino 最小系统的构建和使用方法; 2、掌握峰值半波整流电路的工作原理; 3、根据技术指标通过分析计算确定电路形式和元器件参数; 4、画出电路原理图(元器件标准化,电路图规范化); 5、熟悉计算机仿真方法; 6、熟悉Arduino 系统编程方法。 三. 实验任务及设计要求 设计实现 Arduino 最小系统,并基于该系统实现对正弦波电压有效值的测量和显示。 1、基本要求 (1)实现Arduino 最小系统,并能下载完成Blink 测试程序,驱动Arduino 数字13 口LED 闪烁; (2)电源部分稳定输出5V 工作电压,用于系统供电; (3)设计峰值半波整流电路,技术指标要求如下:

数字逻辑课程设计报告

数字逻辑 课程设计报告 —多功能数字钟的设计与实现 姓名: 专业班级:通信1002 学号:31006010 指导老师:曾宇 设计日期:2012.06.20~2012.06.24

一、设计目的 1、学会应用数字系统设计方法进行电路设计; 2、学习使用QuartusII 9.0, 进一步提高软件的开发应用能力,增强自己的动手实践能力。 3、培养学生书写综合实验报告的能力。 二、设计任务及要求 实现多功能数字钟的设计,主要有以下功能: 1、记时、记分、记秒 2、校时、校分、秒清0 3、整点报时 4、时间正常显示 5、闹时功能(选做) 三、设计思路 3.1 计时模块 3.1.1 设计原理 计时模块如图3.1.1所示,其中计时用60进制计数器,计分和计秒用24进制计数器。 图3.1.1 计时间模块 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号; 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位;计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 计数器的设计: 3.1.2 设计程序 编程分别设计24、60进制计数器,计数状态以BCD码形式输出。 24进制计数器源程序:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN24 is port(en,clk:in std_logic; ----高电平有效的使能信号/输入时钟 co:out std_logic; h1,h0:out std_logic_vector(3 downto 0)); ----时高位/低位 end FEN24; architecture behave of FEN24 is begin process(clk) variable cnt1,cnt0:std_logic_vector(3 downto 0); ----记数 begin if(en='0')then ---“使能”为0 cnt0:="0010"; cnt1:="0001"; elsif clk'event and clk='1'then ---上升沿触发 if cnt1="0010"and cnt0="0011"then cnt0:="0000"; ----高位/低位同时为0时 cnt1:="0000"; co<='1'; else co<='0'; if cnt0="1001"then cnt0:="0000"; cnt1:=cnt1+1; else cnt0:=cnt0+1; -----高位记数累加 end if; end if; end if; h1<=cnt1; h0<=cnt0; end process; end behave; 60进制计数器源程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity FEN60 is

相关文档
最新文档