解码器与编码器的区别

解码器与编码器的区别
解码器与编码器的区别

解码器与编码器的区别

解码器

在多媒体方面,编码器主要把模拟视音频信号压缩数据编码文件,而解码器把数

据编码文件转为模拟视音频信号的过程。

解码器的分类:

解码器按照云台供电电压分为交流解码器和直流解码器。交流解码器为交流云台

提供交流230V或24V电压驱动云台转动;直流云台为直流云台提供直流12V或24V

电源,如果云台是变速控制的还要要求直流解码器为云台提供0-33或36V直流电压信号,来控制直流云台的变速转动。

按照通讯方式分为单向通讯解码器和双向通讯解码器。

单向通讯解码器只接收来自控制器的通讯信号并将其翻译为对应动作的电压/电流

信号驱动前端设备;

双向通讯的解码器除了具有单向通讯解码器的性能外还向控制器发送通讯信号,

因此可以实时将解码器的工作状态传送给控制器进行分析,另外可以将报警探测器等

前端设备信号直接输入到解码器中由双向通讯来传诵现场的报警探测信号,减少线缆

的使用。

按照通讯信号的传输方式可分为同轴传输和双绞线传输。一般的解码器都支持双绞

线传输的通讯信号,而有些解码器还支持或者同时支持同轴电缆传输方式,也就是将

通讯信号经过调制与视频信号以不同的频率共同传输在同一条视频电缆上。

解码器的电路是以单片机为核心,由电源电路、通讯接口电路、自检及地址输入电路、输出驱动电路、报警输入接口等电路组成。

解码器一般不能单独使用,需要与系统主机配合使用。

●解码器到云台、镜头的连接线不要太长,因为控制镜头的电压为直流12伏左右,传输太远则压降太大,会导致镜头不能控制。另外由于多芯控制电缆比屏蔽双绞线要贵,所以成本也会增加。

●室外解码器要做好防水处理,在进线口处用防水胶封好是一种不错的方法,而且

操作简单。

●从主机到解码器通常采用屏蔽双绞线,一条线上可以并联多台解码器,总长度不超过1500米(视现场情况而定)。如果解码器数量太大,需要增加一些辅助设备,如增加控制码分配器或在最后一台解码器上并联一个匹配电阻(以厂家的说明为准)。

监控系统中编码器与解码器的区别

视频服务器就等于是网络编码器,就是将摄象机过来的模拟信号转化为数字信号通过网络进行传输;

解码器就是在大型监控项目中需要集中管理上电视墙,因为前端传输过来的是数字信号,但电视需要的是模拟信号,所以起的作用就是将数字信号转化为模拟信号上电视墙;

视频服务器== 网络编码器:将模拟信号转化为数字信号;

解码器:将数字信号转化为模拟信号;

在多媒体方面,编码器主要把模拟视音频信号压缩数据编码文件,而解码器把数据编码文件转为模拟视音频信号的过程。

解码器的分类:

解码器按照云台供电电压分为交流解码器和直流解码器。交流解码器为交流云台提供交流230V或24V电压驱动云台转动;直流云台为直流云台提供直流12V或24V电源,如果云台是变速控制的还要要求直流解码器为云台提供0-33或36V直流电压信号,来控制直流云台的变速转动。

按照通讯方式分为单向通讯解码器和双向通讯解码器。单向通讯解码器只接收来自控制器的通讯信号并将其翻译为对应动作的电压/电流信号驱动前端设备;双向通讯的解码器除了具有单向通讯解码器的性能外还向控制器发送通讯信号,因此可以实时将解码器的工作状态传送给控制器进行分析,另外可以将报警探测器等前端设备信号直接输入到解码器中由双向通讯来传诵现场的报警探测信号,减少线缆的使用。

按照通讯信号的传输方式可分为同轴传输和双绞线传输。一般的解码器都支持双绞线传输的通讯信号,而有些解码器还支持或者同时支持同轴电缆传输方式,也就是将通讯信号经过调制与视频信号以不同的频率共同传输在同一条视频电缆上。

解码器的电路是以单片机为核心,由电源电路、通讯接口电路、自检及地址输入电路、输出驱动电路、报警输入接口等电路组成。

解码器一般不能单独使用,需要与系统主机配合使用。

●解码器到云台、镜头的连接线不要太长,因为控制镜头的电压为直流12伏左右,传输太远则压降太大,会导致镜头不能控制。另外由于多芯控制电缆比屏蔽双绞线要贵,所以成本也会增加。

●室外解码器要做好防水处理,在进线口处用防水胶封好是一种不错的方法,而且操作简单。

●从主机到解码器通常采用屏蔽双绞线,一条线上可以并联多台解码器,总长度不超过1500米(视现场情况而定)。如果解码器数量太大,需要增加一些辅助设备,如增加控制码分配器或在最后一台解码器上并联一个匹配电阻(以厂家的说明为准)。

高速球与球型云台、中速球、匀速球的区别

1、中速球分两种,一种是精密微分步进电机的中速球,一种是带减速比的步进电机的中速球,第一种相当于一种简化的高速球,

而第二种在原理上差异比较大,第二种的电机微分是通过减速比电机的电机本身实现的,而高速球是通过控制芯片里的程序实现的。因此第二种的中速球会在预置位精确度上和运行速度上远远逊于高速球。

第一种高速球,一般是采用的普通单片机进行控制的高速球,功能简化,开发平台较低,采用的元器件也比较低档,比如在电源处理、核心芯片的选择、通讯部分等都是比较节省的方案,在稳定性、功能性、抗干扰、使用寿命等方面逊于高速球。

2、匀速球:匀速球一般分为两种,一种是球型云台内置解码板,而且该解码板也许带有预置位功能,不能旋转360度。这种匀速球实际是球型云台的的外置解码器变成内置一块解码板,相对价格便宜。

另一种匀速球就是采用的上诉中速球中的带减速比的步进电机作为云台部分的匀速球,一般可以再加一个导电滑环可以实现360度连续旋转,这种匀速球因为电机本身的扭矩和参数限制,速度提不高,预置位相对差点,但是技术简单,不需要多少软件支持。

3、球型云台:球型云台采用的电机完全跟高速球不一样,采用的是直流电机,不需要程序,通过对电流的控制进行对电机的控制,即“通电即转”的原理。直流电机制造简单、使用面广、成本低,但是寿命短、扭矩小(导致速度慢)、不容易控制(导致不平稳,不能精确定位)。球型云台一般需要配置解码器,而解码器的原理一般采用一组继电器对电流脉冲进行控制,然后实现对直流电机的云台进行控制,这种继电器相对简单、成本低、用量广、但是控制电流远远不如芯片指令的控制,属于一种很落后和简单的技术。

4、以上是从原理上讲差别,在具体上,球型云台和采用减速比的步进电机的中速球,一般是装一体机整机,不涉及到摄像机与球机的通讯问题,而高速球采用的是摄像机机心,必须讲摄像机的端口协议及功能写入到高速球里,所以在选择高速球时,一般配置的一体机是不能让客户自己安装的。

关于解码器

解码器在当前闭路电视监控系统中,采用单片机技术完成对镜头、云台(多点)的控制,已得到广泛应用。随着单片机应用技术的发展,解码器的技术也在不断的更新。在这里我们来探讨一下解码器的相关技术问题。

CPU

CPU:解码器CPU基本都是8位的单片机,其中以8051系列居多。8051的特点是价格较低,通用性强,但多数品牌抗干扰能力较弱。有部分厂家采用STC 8位CPU,稳定性比较好但价格较高。CPU所有的控制指令和数据都存放在ROM中,在使用过程中,由于外来干扰或者突然断电会导致ROM中的数据丢失,本人建议选者SST,STC或者更好品牌的CPU,来保证系统的稳定运行。有个深圳网友做过一次试验,8051同STC 89C52运行相同数据程序,使用打火机点火器去电击工作中CPU的引脚。每次8051被击均死机,并有部分程序及数据丢失情况,STC 89C52 CPU有大约33.4%机率死机,没有发现丢失数据程序情况。另外现在的CPU中一般都含有硬件看门狗电路,当发生死机或死循环程序时可以自动重启系统。当然很多CPU还支持在线更新程序功能,基本监控解码器用的很少,这里不多介绍。

继电器

继电器是解码器中的动作发生器,通常解码器会匹配九只继电器,其中有五只较大的继电器,是控制云台的上下左右动作,其余四只较小继电器是控制三可变镜头光圈、变倍、聚焦。松下、欧姆等继电器都是一流的产品,但价格较高,通常在门禁控制器等领域使用。监控解码器使用的继电器中有两个品牌比较多,松乐、汇港,质量差别不大,在实际使用中继电器故障率不高,我个人认为基本可以忽略不计。但解码器工作时,继电器要频繁的开合,而每次开合时都有一个瞬时电流通过。如果继电器容量太小,瞬时电流有可能超过继电器的容量,继电器的使用寿命会大大缩短,一定要用7A以上额定工作电流的继电器,而有些厂家过于追求成本而选择1A的小继电器。还有一个问题需要注意,有些解码器设计时取消了镜头控制的3个继电器,用三极管和电阻代替,这种设计在使用中故障率也不高,基本在正常范围之内,但我个人持保留意见,三极管的驱动能力有限,当在相对复杂的环境中使用时,可能会不稳定。

通信芯片

解码器的通信芯片主要是负责485总线上数据信号的接收,最常用的是75176芯片,75176芯片是相对较老的一种产品,但价格较低,稳定性较好,但在驱动能力上较差,由于解码器属于RS485单向通信总线,不存在驱动能力问题,对于现在竞争激烈的市场,低成本的75176芯片成为95%以上生产解码器厂家的选择。但建议不要使用采用75176作为通信芯片的码转换器,由于驱动能力有限,当控制32台以上解码器运行时,故障率很高。

防雷系统

品牌较好的解码器通常带有简易的防雷系统,主要是防止感应雷通过485总线对解码器的破坏。标准的防雷系统价格会比解码器本身还要高几倍,所以通常设计相对简易的防雷系统。

以沈阳先凯丽柯电子有限公司的先柯解码器为例,防雷部分要由2只防雷二极管来完成,可以防止10毫秒600W的感应雷破坏,基本上可以抵御常见感应雷袭击。当感应雷能量超出防雷二极管承受极限时,防雷二极管会烧毁,同时吸收感应雷能量,保护解码器内部

器件和电源变压器不被破坏。防雷管被烧毁后,将防雷管取下后,解码器便可正常工作,但已经不具备防雷功能。由于解码器使用环境复杂,被雷击情况经常发生,建议选择解码器时,选择带有防雷系统的产品。

保险

解码器中的保险丝基本上有2大作用:

1.防止220V电源在施工过程中短路或对人员造成伤害,当220V电源短路或人员触电时保险丝会自动切断220V电源,保证人员及设备安全。通常220伏保险丝会设计一个透明塑料外壳,防止保险丝金属接头引起触电。

2.防止摄像机短路造成电源变压器烧毁,此种通常不用保险丝座外壳。

在一些设计比较完善的解码器中,有3个保险丝,增加了一个对外输出交流24伏的输出保护,防止云台短路引起的解码器内部器件及电源变压器的烧毁。

驱动芯片NLU2003

解码器的动作是由CPU控制继电器的吸合来实现的,但CPU的驱动能力一般都不强,需要借助驱动芯片来实现继电器的控制,比如沈阳先凯丽柯公司的先柯解码器就使用了2片NLU2003型号驱动芯片,当CPU发出动作指令时由NLU2003芯片来驱动继电器的吸合和断开,2片NLU2003分别控制云台控制继电器和镜头控制继电器。

电路板

电路板是解码器电路部分的基础,所有的元件及电源接线都连接到电路板上,它的设计水平决定了解码器的质量。

1.首先,观察解码器电路板的光泽度,是否饱满,字体印刷是否清晰,元器件排列是否整齐。是否有中文接线说明,解码板厚度是否够厚,标准应该在1.5毫米以上,足够厚的解码板张力较强,可以适应更复杂环境,电路板变形机率更小,电路板边缘用手触摸会不会划手的感觉,如果有说明生产时切板机不够先进。

电子元件说明见图

波特率和地址码拨码开关

解码器通常有2个参数需要人工设置分别是通信波特率和地址码。波特率是指解码器与硬盘录像机或矩阵通过485总线通信时的通信速率,不同的通信协议通信速率不相同,但大致可以分为1200、2400、4800、9600几种。它们通常用二进制拨码开关来设置,具体设置方法每种品牌各不相同。以先柯解码器为例,共计8位拨码开关,其中1、2位为通信波特率设置开关,3-8位为地址设置开关。

地址码设置,由于485通信总线上一般都连结多个解码器为了把它们区别开,分别对每个解码器设置一个地址。地址码也是用二进制来表示的,如图3

通信协议

协议就是数据通信时的信息存储格式,解码器的通信协议大约有100多种,其中比较常见的还有多个版本,最常使用的派尔高D协议,就有大概7个版本。以派尔高D为例,分析一下协议的数据结构。

通信数据为7位字节,分别为:

1 2 3 4 5 6 7

1、标志位:确定协议种类;

2、地址位:所发出动作所对应的解码器地址;

3、4、动作位:具体的工作指令;

5、6、速度和预置位:定义动作的速度和预置到的坐标;

7、校验位:校验数据是否正确。

通常解码器会根据协议的格式来识别协议的种类,这就是通称谈到的自识别协议解码器,又叫万码解码器。硬盘录像机发出控制命令后,硬盘录像机的串行接口RS-232会以软件设置协议的波特率和数据格式发出控制协议代码,RS-232转RS-485码转换器将RS-232信号转换成RS-485信号,然后再传输(理论上可传输1200米),系统经过485总线上的每个解码器都会接收到控制协议代码,然后对比自己的地址,控制协议代码与地址相同解码器才会执行动作。使用232转485码转换器的主要是RS232信号传输距离比较近约15米,而485信号可传输12000米,使控制距离大大加长。

嵌入式硬盘录像机本身就有485通信接口,不必再加RS-232转RS-485通传协议转换器。

硬盘录像机与解码器之间的通信是单向通信,数据硬盘录像机发出到解码器接收为止,解码器不向硬盘录像机发送任何数据。因此,为了降低成本监控系统使用的码转换器有相当的比例是单功码转,即由232信号转换成RS485信号。因此,在门禁等双向通信系统中使用监控系统码转可能会发生通信不良的问题。

解码器的电源

解码器电源一般都使用多电压输出的线性变压器,线性变压器大致可以分成3种:

1. EI型变压器;

2. R型变压器;

3. 环型变压器;

EI型变压器

EI型铁芯变压器制造工艺简单,成本相对较低。由于铁芯片及与其相配套的线圈骨架均已形成系列并大量生产,所以应用十分广泛。

EI型铁芯变压器所用的铁芯材料十分复杂,有热轧硅钢板(俗称低硒)、冷轧无取向硅钢带、冷轧取向硅钢带(俗称高硒)。现在使用的冷轧无取向硅钢带主要是0.5毫米厚的(俗称中硒),0.35毫米的(俗称国产高硒)已经淘汰。这些材料中冷轧取向硅钢带材质最好,其厚度在0.35毫米以下,冷轧无取向硅钢带次之,热轧硅钢板材质质量最差。因而同一尺寸的变压器,不同的铁芯材料,变压器输出的功率差别较大。

R型变压器

R型变压器比EI变压器小30%,薄40%,轻40%。R型变压器漏磁最小,比EI 型变压器小10倍。R型铁芯变压器产生的热量最少比EI型变压器小50%。R型变压器不会产生噪音,这一特点远胜EI型变压器或铁芯有间隙的切形铁芯变压器。R型变压器与环形变压器相比,工作性能更强,可靠性更高,绝缘性能强,安装简便。R型变压器的构造比EI和C型变压简单但可靠性和品质都比它们高。

环型变压器

环型变压器的铁心是用优质冷轧硅钢片(片厚一般为0.35mm以下),无缝地卷制而成,这就使得它的铁心性能优于传统的叠片式铁心。环形变压器的线圈均匀地绕在铁心上,线圈产生的磁力线方向与铁心磁路几乎完全重合,与叠片式相比激磁能量和铁心损耗将减小25%。环型变压器有以下特点:

1)电效率高铁心无气隙,叠装系数可高达95%以上,铁心磁导率可取1.5~1.8T(叠片式铁心只能取1.2~1.4T),电效率高达95%以上,空载电流只有叠片式的10%。

2)外形尺寸小,重量轻环形变压器比叠片式变压器重量可以减轻一半,只要保持铁心截面积相等,环形变压器容易改变铁心的长、宽、高比例,可以设计出符合要求的外形尺寸。

3)磁干扰较小环形变压器铁心没有气隙,绕组均匀地绕在环形的铁心上,这种结构导致了漏磁小,电磁辐射也小,无需另加屏蔽都可以用到高灵敏度的电子设备上,例如应用在低电平放大器和医疗设备上。

4)振动噪声较小铁心没有气隙能减少噪声。

解码器485通信线的连接

链式连接图是标准的接线方式,所有解码器均挂接在485总线上,通信距离远,传输数据稳定,最后一个解码器需要跳线接通120Ω电阻,用来改善通讯质量,建议施工时利用此种布线方式。

星型连接图中解码器都单独通过一条485总线与发送设备相连,当485通信数据通过结点向2个以上方向传输时,其传输距离会大大缩短。建议在施工时避免利用此种接线方式。如果采用此种接线方式建议使用485HUB解决。

解码器的外部结构

解码器的外部结构可分为两种,第一种铁盒喷漆,此种比较常见,大约占解码器市场85%以上份额。第二种为铸铝外壳,市场占有10% ,有防水性好,外观大方等特点。由于铸铝外壳成本较高,使用较少,通常品牌解码器才会选用。

在室内环境时,两种外壳的解码器功能没有区别。在室外环境时,铁盒解码器和铸铝解码器功能没有区别,但铸铝外壳解码器密封性比较好可以直接放置地面,不会发生进水等情况,而铁盒解码器由于密封性不如铸铝结构,通常被挂装在墙壁或监控杆上,配置防水接线头后,可以防止雨水进入,由于铸铝结构解码器通常比铁壳结构价格高1倍以上,所以在中低端端市场,铸铝结构外壳已经不多见了。

铁壳外结构也有很多种,其中有一种抽拉式结构设计比较成功,现在应用也最多,抽拉式结构由两部分组成,一部分为一个下端面为空的铁盒立方体,如图:

另一部分为像一个抽屉式的L型铁板,解码板、电源等安装在铁板上,在将铁板插入铁盒,用镀锌(防锈)螺丝固定,在出线口处配置2个防水头。施工时L型铁板插入方向

下,可以防止雨水灌入,保护内部电器元件。调试时可以卸下L型铁板上两个螺丝,铁板可拉下,当解码器板全部露出后,L型铁板上挂钩自动挂住解码器外壳,更方便安装。

网络视频解码器使用手册

网络视频解码器使 用手册 1 2020年4月19日

网络视频解码器 使用手册 尊敬的用户,非常感谢您一直对我公司的产品的关注,假如您在使用过程中按照使用手册无法解决问题时,请致电我公司技术部垂询相关操作方法。本手册的内容将做不定期的更新,恕不另行通知。

目录 1 引言.......................................................................... 错误!未定义书签。 1.1 编写目的 .......................................................... 错误!未定义书签。 1.2 使用范围 .......................................................... 错误!未定义书签。 2 产品介绍 .................................................................. 错误!未定义书签。 2.1 产品简介 .......................................................... 错误!未定义书签。 2.2 产品技术规格 .................................................. 错误!未定义书签。 3 设备说明 .................................................................. 错误!未定义书签。 3.1 运行环境 .......................................................... 错误!未定义书签。 3.2 支持解码设备 .................................................. 错误!未定义书签。 4 产品使用 .................................................................. 错误!未定义书签。 4.1 装箱清单 .......................................................... 错误!未定义书签。 4.2 产品安装注意事项........................................... 错误!未定义书签。 4.3 连接示意图 ...................................................... 错误!未定义书签。 4.4 设置解码器 ...................................................... 错误!未定义书签。 4.4.1搜索解码器............................................... 错误!未定义书签。 4.4.2添加解码器............................................... 错误!未定义书签。 4.4.3解码器参数设置 ....................................... 错误!未定义书签。 4.4.4设备列表设置........................................... 错误!未定义书签。 4.4.5系统参数配置........................................... 错误!未定义书签。 4.4.6轮巡设置 .................................................. 错误!未定义书签。

编码器工作原理汇总

编码器的工作原理及作用:它是一种将旋转位移转换成一串数字脉冲信号的旋转式传感器,这些脉冲能用来控制角位移,如果编码器与齿轮条或螺旋丝杠结合在一起,也可用于测量直线位移。 编码器产生电信号后由数控制置CNC、可编程逻辑控制器PLC、控制系统等来处理。这些传感器主要应用在下列方面:机床、材料加工、电动机反馈系统以及测量和控制设备。在ELTRA编码器中角位移的转换采用了光电扫描原理。读数系统是基于径向分度盘的旋转,该分度由交替的透光窗口和不透光窗口构成的。此系统全部用一个红外光源垂直照射,这样光就把盘子上的图像投射到接收器表面上,该接收器覆盖着一层光栅,称为准直仪,它具有和光盘相同的窗口。接收器的工作是感受光盘转动所产生的光变化,然后将光变化转换成相应的电变化。一般地,旋转编码器也能得到一个速度信号,这个信号要反馈给变频器,从而调节变频器的输出数据。故障现象:1、旋转编码器坏(无输出)时,变频器不能正常工作,变得运行速度很慢,而且一会儿变频器保护,显示“PG断开”...联合动作才能起作用。要使电信号上升到较高电平,并产生没有任何干扰的方波脉冲,这就必须用电子电路来处理。编码器pg接线与参数矢量变频器与编码器pg之间的连接方式,必须与编码器pg的型号相对应。一般而言,编码器pg型号分差动输出、集电极开路输出和推挽输出三种,其信号的传递方式必须考虑到变频器pg卡的接口,因此选择合适的pg卡型号或者设置合理. 编码器一般分为增量型与绝对型,它们存着最大的区别:在增量编码器的情况下,位置是从零位标记开始计算的脉冲数量确定的,而绝对型编码器的位置是由输出代码的读数确定的。在一圈里,每个位置的输出代码的读数是唯一的;因此,当电源断开时,绝对型编码器并不与实际的位置分离。如果电源再次接通,那么位置读数仍是当前的,有效的;不像增量编码器那样,必须去寻找零位标记。 现在编码器的厂家生产的系列都很全,一般都是专用的,如电梯专用型编码器、机床专用编码器、伺服电机专用型编码器等,并且编码器都是智能型的,有各种并行接口可以与其它设备通讯。 编码器是把角位移或直线位移转换成电信号的一种装置。前者成为码盘,后者称码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电刷接触导电区或绝缘区来表示代码的状态是“1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是“1”还是“0”。 按照工作原理编码器可分为增量式和绝对式两类。增量式编码器是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。绝对式编码器的每一个位置对应一个确定的数字码,因此它的示值只与测量的起始和终止位置有关,而与测量的中间过程无关。 旋转增量式编码器以转动时输出脉冲,通过计数设备来知道其位置,当编码器不动或停电时,依靠计数设备的内部记忆来记住位置。这样,当停电后,编码器不能有任何的移动,当来电工作时,编码器输出脉冲过程中,也不能有干扰而丢失脉冲,不然,计数设备记忆的零点就会偏移,而且这种偏移的量是无从知道的,只有错误的生产结果出现后才能知道。解决的方法是增加参考点,编码器每经过参考点,将参考位置修正进计数设备的记忆位置。在参考点以前,是不能保证位置的准确性的。为此,在工控中就有每次操作先找参考点,开机找零等方法。这样的编码器是由码盘的机械位置决定的,它不受停电、干扰的影响。 绝对编码器由机械位置决定的每个位置的唯一性,它无需记忆,无需找参考点,而且不用一直计数,什么时候需要知道位置,什么时候就去读取它的位置。这样,编码器的抗干扰特性、数据的可靠性大大提高了。 由于绝对编码器在定位方面明显地优于增量式编码器,已经越来越多地应用于工控定位中。绝对型编码器因其高精度,输出位数较多,如仍用并行输出,其每一位输出信号必须确保连接很好,对于较复杂工况还要隔离,连接电缆芯数多,由此带来诸多不便和降低可靠性,

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

电机编码器解码器

maxon motor maxon motor control Encoder HEDL 550_Technical Documentation April 2000 edition The latest edition of these operating instructions may also be found in the internet under:https://www.360docs.net/doc/4c17911861.html,/Service&Support/Downloads/Tacho.htm Encoder Line Drivers Technical Data HEDL-550X/554X HEDL-556X/557X HEDL-560X/564X HEDL-9000/9100/9200HEDL-9040/9140 HEDL-9060/9160/9260HEDL-9061/9161 Features ? Available on Both Encoder Modules (HEDS-9000Series) and Encoder Kit Housings (HEDS-5500Series) ? Complementary Outputs ? Industry Standard Line Driver IC ? Single 5 V Supply ? Onboard Bypass Capacitor ? 70°C and 100°C Versions Available Description Line Drivers are available for the HEDS-55XX/56XX series and the HEDS-9000/9100/9200/9040/ 9140 series encoders. The line driver offers enhanced perform-ance when the encoder is used in noisy environments, or when it is required to drive long distances.The 70°C version utilizes an industry standard line driver IC (26LS31) which provides comple-mentary outputs for each encoder channel. The 100°C version utilizes an industry standard line driver IC, 26C31, which provides complementary outputs for each encoder channel. Thus, the output of the line driver encoder is A, A, B, B and I/I for three channel versions. Suggested line receivers are 26LS32 and 26LS33. For additional information, please refer to: HEDS-5500/5540/5600/5640data sheet, HEDS-90X0/91X0/92X0 data sheets, HEDS-9000 series extended resolution data sheet, and 26LS31 data sheet.Device Characteristics ESD WARNING: NORMAL HANDLING PRECAUTIONS SHOULB BE TAKEN TO AVOID STATIC DISCHARGE also refer to: https://www.360docs.net/doc/4c17911861.html,/motion/hedl550x.html

旋转变压器与编码器的区别

从原理上讲,旋转变压器是采用电磁感应原理工作,随着旋转变压器的转子和定子角位置不同,输出信号可以实现对输入正弦载波信号的相位变换和幅值调制,最终由专用的信号处理电路或者某些具备一定功能接口的DSP和单片机,根据输出信号的幅值和相位与正弦载波信号的关系,解析出转子和定子间的角位置关系。 旋转变压器有单对极和多对极之分,n对极的又被习惯地称为n倍速。在一个极对的角度范围内(单对极就是一整圈),旋转变压器信号经处理后的结果一般都具有反映绝对位置的特性,即可反映当前角位置是处于0~360度(电角度)中的多少度上。目前商用分辨率可以做到2的12次方以上,直至2的16次方,再高就比较困难了。 典型的旋转变压器本体由硅钢片和漆包线构成,不包含任何电子元件,因而抗震能力和温度特性极佳,因而其抗恶劣环境的工作能力远胜于普通旋转编码器,在军工产品中具有广泛应用。 典型的旋转编码器采用光栅原理,用光电方法进行角位置检测,又可分为增量式和绝对式等类型. 旋转变压器 简称旋变,是一种输出电压随转子转角变化的信号元件。当励磁绕组以一定频率的交流电压励磁时,输出绕组的电压幅值与转子转角成正余弦函数关系,或保持某一比例关系,或在一定转角范围内与转角成线性关系。 按励磁方式分,多摩川旋转变压器分BRT和BRX两种,BRT是单相励磁两相输出;BRX是双相励磁单相输出。用户往往选择BRT型的旋变,因为它易于解码。 有增量型和绝对型 增量型只是测角位移(间接为角速度)增量,以前一时刻为基点.而绝对型测从开始工作后角位移量. 增量型测小角度准,大角度有累积误差 绝对型测小角度相对不准,但大角度无累积误差 说简单点的编码器更精确采用的是脉冲计数旋转变压器就不是脉冲技术而是模拟量反馈 据我所知区别如下:1、编码器多是方波输出的,旋变是正余弦的,通过芯片解算出相位差。2、旋变的转速比较高,可以达到上万转,编码器就没那么高了。 3、旋变的应用环境温度是-55到+155,编码器是-10到+70。 4、旋变一般是增量的。根本区别在于:数字信号和模拟正弦或余弦信号的的区别。resolver 有2组信号,可以分别处理成增量信号和绝对值型号。今后会越来越多地得到推广使用。

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

网络NVR解码器解决方案

智能建筑视频监控系统IPC+NVR解决方案

目录 第1章项目概述 (3) 1.1 设计概述 (3) 1.2 设计原则 (3) 1.3 设计依据 (4) 1.4 设计需求 (4) 第2章系统设计 (5) 2.1 系统结构 (5) 2.2 前端系统设计 (5) 2.2.1 前端子系统组成部分 (5) 2.2.2 前端系统 (6) 2.3 后端存储设计 (6) 2.4 传输网络设计 (7) 2.5 监控中心设计 (7) 2.5.1 解码设计 (8) 2.5.2 显示设计 (9) 2.5.3 平台设计 (9) 第3章系统设计亮点 (15) 3.1 网络摄像机特色 (15) 3.2 数字解码矩阵特点 (15) 3.3 流媒体转发、流控分离技术 (15) 3.4 平台注重体现人性化和管理 (16) 3.5 更新升级轻易实现 (16) 第4章海康威视简介 (17) 4.1 分布全国内外的销售网络 (17) 4.2 市场占有率 (18) 4.3 行业内外奖项 (19) 4.4 七大核心技术 (20) 4.5 全面监控产品 (20) 4.6 成功案例 (21)

第1章项目概述 1.1设计概述 针对智能楼宇、公共场所,其开放性较高,来往人员复杂,因此对安全防范要求比较高。按照安全防范的基本要求,运用先进的科技手段,在一定区域范围内警戒可能发生的侵入行为,对发生的报警及时捕获和记录相关影像等方式对重要区域提供有效保护,是安全防范系统追求的目标,防范胜于救灾,责任重于泰山。 本次设计的安防系统在使用上将面向内部各个系统,有许多重要场所,使其对安全防范的要求较高,尤其对于通信设备制造商来说,其保密性的要求很高。 我们根据安防设计规范以及相关部门对安防视频监控系统的初步规划,按照高水准、高质量的要求,本着因地制宜、积极稳妥、注重实效、严格要求及保密的原则,着眼于实际,为切实提高工作效率、创造安全环境,实现“以人为本、科技管理”的目标设计安防视频监控系统。在设计上充分体现建设者的意图,并考虑到今后使用者的维护、使用、保养的方便性,特别制定了本方案设计书。1.2设计原则 本次设计的安防视频监控系统的设计严格遵守以下原则: 先进性:本视频监控系统采用国际上技术先进、性能优良、工作稳定的监控设备,采用智能化、网络化、高清化的设计理念,使整个系统的应用在相当长的一段时间内保持领先的水平。 可靠性:系统的可靠性原则应贯穿于系统设计、设备选型、软硬件配置到系统施工的全过程。只有可靠的系统,才能发挥全面、有效的作用。 方便性:监控系统的操作应具有灵活简便,人机界面友好,易于掌握的特点,操作人员能够方便物进行使用及维护,使整个系统的功能得以最大实现。 扩展性:系统设计留有充分的余地,以便日后比较方便地进行系统扩充。为此,在需要时可随时补充,使系统具备灵活的扩展性。 开放性:产品选型必须具有开放的接口,便于整个系统的整合,达到资源统一管理的目的。 安全性:系统的程序或文件要有能力阻止未授权的使用、访问、篡改,或者毁坏的安全防卫级别。硬件设备具有防破坏报警的安全性功能。

编码器工作原理

编码器工作原理 Prepared on 22 November 2020

的工作原理及作用:它是一种将旋转位移转换成一串数字脉冲信号的旋转式,这些脉冲能用来控制角位移,如果编码器与齿轮条或螺旋丝杠结合在一起,也可用于测量直线位移。 编码器产生电信号后由数控制置CNC、可编程逻辑控制器、等来处理。这些传感器主要应用在下列方面:机床、材料加工、电动机反馈系统以及测量和控制设备。在ELTRA编码器中角位移的转换采用了光电扫描原理。读数系统是基于径向分度盘的旋转,该分度由交替的透光窗口和不透光窗口构成的。此系统全部用一个红外垂直照射,这样光就把盘子上的图像投射到接收器表面上,该接收器覆盖着一层,称为准直仪,它具有和光盘相同的窗口。接收器的工作是感受光盘转动所产生的光变化,然后将光变化转换成相应的电变化。一般地,也能得到一个速度信号,这个信号要反馈给器,从而调节的输出数据。故障现象: 1、旋转编码器坏(无输出)时,变频器不能正常工作,变得运行速度很慢,而且一会儿变频器保护,显示“PG断开”...联合动作才能起作用。要使电信号上升到较高电平,并产生没有任何干扰的方波脉冲,这就必须用电路来处理。编码器pg接线与参数与编码器pg之间的连接方式,必须与编码器pg的型号相对应。一般而言,编码器pg型号分差动输出、集电极开路输出和推挽输出三种,其信号的传递方式必须考虑到变频器pg卡的,因此选择合适的pg卡型号或者设置合理. 编码器一般分为增量型与绝对型,它们存着最大的区别:在的情况下,位置是从零位标记开始计算的脉冲数量确定的,而绝对型编码器的位置是由输出代码的读数确定的。在一圈里,每个位置的输出代码的读数是唯一的;因此,当断开时,绝对型编码器并不与实际的位置分离。如果电源再次接通,那么位置读数仍是当前的,有效的;不像增量编码器那样,必须去寻找零位标记。 现在编码器的厂家生产的系列都很全,一般都是专用的,如电梯专用型编码器、机床专用编码器、专用型编码器等,并且编码器都是智能型的,有各种并行接口可以与其它设备通讯。 编码器是把角位移或直线位移转换成电信号的一种装置。前者成为码盘,后者称码尺.按照读出方式编码器可以分为接触式和非接触式两种.接触式采用电刷输出,一电刷接触导电区或绝缘区来表示代码的状态是“1”还是“0”;非接触式的接受敏感元件是光敏元件或磁敏元件,采用光敏元件时以透光区和不透光区来表示代码的状态是“1”还是“0”。 按照工作原理编码器可分为增量式和绝对式两类。是将位移转换成周期性的电信号,再把这个电信号转变成计数脉冲,用脉冲的个数表示位移的大小。的每一个位置对应一个确定的数字码,因此它的示值只与测量的起始和终止位置有关,而与测量的中间过程无关。 旋转增量式编码器以转动时输出脉冲,通过计数设备来知道其位置,当编码器不动或停电时,依靠计数设备的内部记忆来记住位置。这样,当停电后,编码器不能有任何的移动,当来电工作时,编码器输出脉冲过程中,也不能有干扰而丢失脉冲,不然,计数设备记忆的零点就会偏移,而且这种偏移的量是无从知道的,只有错误的生产结果出现后才能知道。解决的方法是增加参考点,编码器每经过参考点,将参考位置修正进计数设备的记忆位置。在参考点以前,是不能保证位置的准确性的。为此,在工控中就有每次操作先找参考点,开机找零等方法。这样的编码器是由码盘的机械位置决定的,它不受停电、干扰的影响。 绝对编码器由机械位置决定的每个位置的唯一性,它无需记忆,无需找参考点,而且不用一直计数,什么时候需要知道位置,什么时候就去读取它的位置。这样,编码器的抗干扰特性、数据的可靠性大大提高了。 由于绝对编码器在定位方面明显地优于增量式编码器,已经越来越多地应用于工控定位中。绝对型编码器因其高精度,输出位数较多,如仍用并行输出,其每一位输出信号必须确保连接很好,对于较复杂工况还要隔离,连接芯数多,由此带来诸多不便和降低可靠性,因此,绝对编码器在多位数输出型,一般均选用串行输出或型输出,德国生产的绝对型编码器串行输出最常用的是SSI (同步串行输出)。

解码器与编码器的区别

解码器与编码器的区别 解码器 在多媒体方面,编码器主要把模拟视音频信号压缩数据编码文件,而解码器把数 据编码文件转为模拟视音频信号的过程。 解码器的分类: 解码器按照云台供电电压分为交流解码器和直流解码器。交流解码器为交流云台 提供交流230V或24V电压驱动云台转动;直流云台为直流云台提供直流12V或24V 电源,如果云台是变速控制的还要要求直流解码器为云台提供0-33或36V直流电压信号,来控制直流云台的变速转动。 按照通讯方式分为单向通讯解码器和双向通讯解码器。 单向通讯解码器只接收来自控制器的通讯信号并将其翻译为对应动作的电压/电流 信号驱动前端设备; 双向通讯的解码器除了具有单向通讯解码器的性能外还向控制器发送通讯信号, 因此可以实时将解码器的工作状态传送给控制器进行分析,另外可以将报警探测器等 前端设备信号直接输入到解码器中由双向通讯来传诵现场的报警探测信号,减少线缆 的使用。 按照通讯信号的传输方式可分为同轴传输和双绞线传输。一般的解码器都支持双绞 线传输的通讯信号,而有些解码器还支持或者同时支持同轴电缆传输方式,也就是将 通讯信号经过调制与视频信号以不同的频率共同传输在同一条视频电缆上。 解码器的电路是以单片机为核心,由电源电路、通讯接口电路、自检及地址输入电路、输出驱动电路、报警输入接口等电路组成。 解码器一般不能单独使用,需要与系统主机配合使用。 ●解码器到云台、镜头的连接线不要太长,因为控制镜头的电压为直流12伏左右,传输太远则压降太大,会导致镜头不能控制。另外由于多芯控制电缆比屏蔽双绞线要贵,所以成本也会增加。 ●室外解码器要做好防水处理,在进线口处用防水胶封好是一种不错的方法,而且 操作简单。 ●从主机到解码器通常采用屏蔽双绞线,一条线上可以并联多台解码器,总长度不超过1500米(视现场情况而定)。如果解码器数量太大,需要增加一些辅助设备,如增加控制码分配器或在最后一台解码器上并联一个匹配电阻(以厂家的说明为准)。

密勒码编码器和解码器的设计

密勒码编码器和解码器的设计 1、基本原理 密勒码又称延迟调制码,它是双相码的一种变形。它的编码规则如下:“1”码用码元中心点出现跃变来表示,即用“10”或“01”表示。“0”码有两种情况:单个“0”时,在码元持续时间内不出现电平跃变,且与相邻码元的边界处也不跃变,连“0”时,在两个“0”码的边界处出现电平跃变,即“00”与“11”交替 由图可知双相码下跳沿对应着密勒码的跳变沿。因此用双相码的下降沿去触发双稳态电路即可输出密勒码。 2、设计方案 Miller码的主要特点是:(1)由编码规则可知,当信码序列出现“101”时,Miller码出现最大脉冲宽度为两个码元周期,而信码出现连“0时,它的最小脉冲宽度为一个码元周期,这一性质可用于进行误码检测。(2)比较双相码与Miller 码的码型,可以发现后者时前者经过一级触发器得来。 编译码过程如下: 编码: 1)、将NRZ码与位同步信号BS相异或,生成信号作为D0触发器的输入,D0触发器采用2BS频率的信号对其采样输出信号BPH码。 2)、BPH码取非后输入D1触发器双稳态电路,生成密勒码。 解码: 1)、将输出的密勒码输入触发器D2的D端,将2BS信号接入D2; 2)、触发器D2生成信号输入触发器D3的D端; 3)、将2BS信号接入触发器D3对密勒码进行采样,将D3生成信号与D2生成信号相异或后的信号作为触发器D4的输入。 4)、将BS信号接入触发器D4,即可输出密勒码解码输出。 编码、解码原理图如下所示:

仿真图: 波形分析:NRZ码输入为:01010011010011,BPH码输出为:01 10 01 10 01 01 10 10 01 10 01 01 10 10,密勒码输出为:00 01 11 10 00 11 10 01 11 00 01 10。观察BPH码与Miller码波形,可知BPH码下跳沿对应着密勒码的跳变沿,符合设计原理。观察NRZ输入码波形、BPH码波形、密勒码波形、译码输出码波形,BPH码波形和密勒码波形相对于NRZ码波形有0.25个码元延迟,译码输出码波形相对于NRZ码有2个码元延迟。 3、心得体会 刚接触这个课程设计,觉得对有些概念有点模糊,于是我们又重新翻开了通信原理这本书,把有关概念弄清楚,遇到不明白的地方我们相互讨论,加强对理论的理解,当然中间还涉及到了有关数电、模电的知识。接着我们把整体的思路、设计框架都大概写了出来。而在

4路8路视频网络解码器使用说明书

网络视频解码器用户手册 说 明 书

通知;更新的内容将会在本手册新版本中加入。我们随时会改进或更新本手册中描述的产品或程序。 目录 简介 (2) 参数: (3) 外观 (4) 操作 (7) NVS Matrix解码器控制软件简介 (7)

安装NVS Matrix (7) 启动NVS Matrix (9) 顶部菜单栏 (10) 文件选项 (10) 操作选项 (10) 解码器选项 (10) 工具选项 (11) 帮助选项 (11) 分配摄像头 (12) 解码器参数设置 (15) 报警参数设置 (15) 虚拟键盘 (17) 透明串口 (17) 搜索解码器 (18) NVS Matrix主界面操作说明 (20) 网络嵌入式解码器软件升级 (22) 问题解答 (24) 简介 非常感谢您购买我公司的产品。我们将向您提供最好的服务! 随着计算机技术和信息网络技术的发展,安防监控技术也正在向数字化、网络化的方向发展。数字化、网络化的监控系统已经步入了全数字时代,彻底打破"闭路电视监控系统"模拟方式的结构,从根本上改变了视频监控系统从信息采集、传输处理、系统控制的方式和结构形式。 由公司自主开发的的网络视频嵌入式解码器是网络产品中的一个系列。其主要功能是将经过网络传输的9000系列和网络视频视频服务器的音视频编码数据还原成模拟的AV信号,显示在监视器上。适用于大中型监视系统的控制中心。

产品功能: ?采用嵌入式设计,产品体积小,集成度高,便于组网 ?可还原网络视频服务器及网络摄像机输出的音视频编码信号; ?具有两路独立的音视频输出通道,同时输出两路音视频信号,可应用于多种流行的矩阵主机 中; ?具有一路音频输出接口,可实现与前段视频服务器对讲; ?每个视频通道可以显示4个不同的IP地址,实现在一个监视器上多画面显示; ?具有4路报警输出接口,响应前端视频服务器发回的报警信息; ?配套NVS Matrix控制软件,可实现系统设置和对前端摄像机的控制。 ?支持完全透明的RS232和RS485接口 参数:

编码器输出形式.

1 编码器基础 1.1光电编码器 编码器是传感器的一种,主要用来检测机械运动的速度、位置、角度、距离和计数等,许多马达控制均需配备编码器以供马达控制器作为换相、速度及位置的检出等,应用范围相当广泛。按照不同的分类方法,编码器可以分为以下几种类型: 根据检测原理,可分为光学式、磁电式、感应式和电容式。 根据输出信号形式,可以分为模拟量编码器、数字量编码器。 根据编码器方式,分为增量式编码器、绝对式编码器和混合式编码器。 光电编码器是集光、机、电技术于一体的数字化传感器,主要利用光栅衍射的原理来实现位移——数字变换,通过光电转换将输出轴上的机械几何位移量转换成脉冲或数字量的传感器。典型的光电编码器由码盘、检测光栅、光电转换电路(包括光源、光敏器件、信号转换电路)、机械部件等组成。光电编码器具有结构简单、精度高、寿命长等优点,广泛应用于精密定位、速度、长度、加速度、振动等方面。 这里我们主要介绍SIMATIC S7系列高速计数产品普遍支持的增量式编码器和绝对式编码器。 1.2增量式编码器 增量式编码器提供了一种对连续位移量离散化、增量化以及位移变化(速度)的传感方法。增量式编码器的特点是每产生一个输出脉冲信号就对应于一个增量位移,它能够产生与位移增量等值的脉冲信号。增量式编码器测量的是相对于某个基准点的相对位置增量,而不能够直接检测出绝对位置信息。 如图1-1所示,增量式编码器主要由光源、码盘、检测光栅、光电检测器件和转换电路组成。在码盘上刻有节距相等的辐射状透光缝隙,相邻两个透光缝隙之间代表一个增量周期。检测光栅上刻有A、B两组与码盘相对应的透光缝隙,用以通过或阻挡光源和光电检测器件之间的光线,它们的节距和码盘上的节距相等,并且两组透光缝隙错开1/4节距,使得光电检测器件输出的信号在相位上相差90°。当码盘随着被测转轴转动时,检测光栅不动,光线透过码盘和检测光栅上的透过缝隙照射到光电检测器件上,光电检测器件就输出两组相位相差90°的近似于正弦波的电信号,电信号经过转换电路的信号处理,就可以得到被测轴的转角或速度信息。

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

各种输出形式的旋转编码器与后续设备

各种输出形式的旋转编码器与后续设备(PLC、计数器等)接线分别怎么接? ⑴与PLC连接,以CPM1A为例 ①NPN集电极开路输出 方法1:如下图所示 这种接线方式应用于当传感器的工作电压与PLC的输入电压不同时,取编码器晶体管部分,另外串入电源,以无电压形式接入PLC。但是需要注意的是,外接电源的电压必须在DC30V以下,开关容量每相35mA 以下,超过这个工作电压,则编码器内部可能会发生损坏。 具体接线方式如下:编码器的褐线接编码器工作电压正极,蓝线接编码器工作电压负极,输出线依次接入PLC的输入点,蓝线接外接电源负极,外接电源正极接入PLC的输入com端。 方法2:编码器的褐线接电源正极,输出线依次接入PLC的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 ②电压输出 接线方式如图所示: 具体接线方式如下:编码器的褐线接电源正极,输出线依次接入PLC 的输入点,蓝线接电源负极,再从电源正极端拉根线接入PLC输入com端。 不过需要注意的是,不能以下图方式接线。

③PNP集电极开路输出 接线方式如下图所示: 具体接线方式如下:编码器的褐线接工作电压正极,蓝线接工作电压负极,输出线依次接入PLC的输入com 端,再从电源负极端拉根线接入PLC的输入com端。 ④线性驱动输出 具体接线如下:输出线依次接入后续设备相应的输入点,褐线接工作电压的正极,蓝线接工作电压的负极。 ⑵与计数器连接,以H7CX(OMRON制)为例 H7CX输入信号分为无电压输入和电压输入。 ①无电压输入: 以无电压方式输入时,只接受NPN输出信号。 NPN集电极开路输出的接线方式如下:

编码器与解码器

编码电路设计报告 目录 一、设计任务 二、题目分析与整体构思 三、硬件电路设计 四、程序设计 五、心得体会

一.设计任务 在数字系统中,编码指将特定的逻辑信号编为一组二进制代码。能够实现编码功能的逻辑部件称为编码器。一般而言,M 个不同的信号,至少需要N 位二进制数编码。M 和N 之间 满足2N≥M 的关系。在实际工作中,同时有多个输入被编码时,必须根据轻重缓急,规定好这些控制对象允许操作的先后次序,即优先识别。能够识别信号的优先级并进行编码的逻辑部件称为优先编码器。 8-3 线优先编码器是常见编码器之一,它有8 个输入端、3 个二进制码输出端,作用是将输入X0~X7 八个状态分别编成842l 码的反码输出,优先级分别从X7~X0 递减。 使用VHDL硬件描述语言的设计方法和思想设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。 使用VDHL语言实现8-3 线优先编码器,操作简单、易懂,将8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,很容易理解编码器的工作原理。 二.题目分析与整体构思 题目要求使用VHDL 语言设计8-3 线优先编码器。用ISE 软件运行仿真,观察波形图,并将程序下载到FPGA,验证编码器的逻辑功能。用开发板EXCD-1上的8个拨码开关的状态作为输入,3个LED显示高低电平作为输出,通过改变8个拨码开关的开关来控制3个LED的输出状态。 三.硬件电路设计

拨码开关SW7~SW0输入,D0~D2输出高低电平,通过改变8个拨码开关的开关来控制3个LED的输出状态。 四.程序设计 (1)创建工程 制定工程名,工程路径以及顶层设计所使用的输入方式,此设计我们选择硬件描述语言作为顶层设计的输入方式HDL。 (2)选择目标器件 (3)创建新源文件 这里我们选择“VHDL Module”,进行新源文件模块定义,所定义的内容是所要设计模块的实体说明,即模块的端口说明。本实验所要实现的是编码器的设计,设定SW7~SW0 为六个输入端口,共3 个输出信号D(0)~D(2),选择输出为总线模式(Bus),Msb、Lsb 分别表示最大端口号与最小端口号(注意:选择端口方向in、out、inout)。 检查模块端口定义是否正确。 (4)添加源文件 (5)完成工程创建 在工程设置统计窗口,可以看到对工程的描述总结,目标器件的描述,以及新建源文件 的总结,此工程创建完成。 (6)设计输入 包括库的声明,包的声明,完整的实体说明以及结构体框架。使用VHDL 语言设计完善基本编码器电路设计,拨动开关SW0~SW1 作为六个输入端,LED0~LED2作为输出显示,以观察实验结果。 1. LED 与编码器电路对应关系 SW7 SW6 SW5 SW4 SW3 SW2 SW1 SW0D2D1 D0 0 0 0 0 00 01000 00 00 00 1×00 1

相关文档
最新文档