加法器电路设计全加器

加法器电路设计全加器
加法器电路设计全加器

课设陈述

令狐采学

课程名称集成电路设计标的目的综合课程设计

实验项目加法器

实验仪器PC机、candence软件

系别______理学院 _

姓名______ 杨凯 __ __

实验日期____ __________

成果_______________________

目录

一、概述3

1.1课题布景5

1.2课题意义6

二、设计流程6

三、课设内容7

四、实验原理7

4.1加法器基来源根基理7

4.1.1 半加器基来源根基理8

4.1.2 全加器基来源根基理8

4.2.镜像加法器10

五、上机步调:10

5.1.画电路图步调10

5.2画版图步调11

六、加法器电路图:11

6.1原理图:11

6.2全加器电路图结构11

6.3自己画的电路图11

6.4波形验证:11

6.5 TRAN(瞬态)阐发12

6.6波形输出参数12

6.728管全加器网表12

6.8仿真波形12

6.9编译仿真波形结果阐发12

七、版图设计13

7.1版图13

版图(L AYOUT)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸年夜小、各层拓扑界说等有关器件的所有物理信息。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不合的工艺,有不合的设计规则。版图在设计的过程中要进行按期的检查,避免毛病的积累而招致难以修改。版图设计流程:13

7.2版图设计规则14

7.3修改前版图15

7.4修改后版图16

八、课设心得16

一、概述

集成电路是采取专门的设计技术和特殊的集成工艺技术,把构成半导体电路的晶体管、二极管、电阻、电容等基本单位器件,制作在一块半导体单晶片(例如硅或者砷化镓)或者陶瓷等绝缘基片上,并按电路要求完成元器件间的互连,再封装在一个外壳内,能完成特定的电路功能或者系统功能,所有的元器件及其间的连接状态、参数规范和特性状态、试验、使用、维护、贸易都是不成联系的统一体,这样而得的电路即是集成电路。

全加器作为基本的运算单位,在很多VLSI系统中都有很广泛的应用,是构建微处理器和DSP等运算电路的核心。随着信息技术的不竭成长,VLSI的集成度不竭提高,人们对运算电路速度、功耗提出了新的要求,以降低功耗提高速度为目标,许多解决计划不竭被提出。如果能将速度、功耗、面积这些性能改进,势必对集成电路整体性能有所提升。

本文基于国际SMIC 0.18μm 1P6M 数字工艺、1.8V电源电压,计了一种电路结构简单,延时小,功耗低,芯片面积小的全加器结构;该全加器单位共用11只晶体管,通过在关键路径上采取三管XNOR门实现高速进位链,并且用反相器弥补由于阈值电压损失造

成的关键路径上逻辑电位的下降,满足了高速和低功耗的要求;用Verilog代码实现了全加器电路功能;使用cadence软件,绘制了全加器原理图、对原理图进行编译仿真,并验证了仿真结果。本文提出的全加器结构在速度、功耗、面积性能上均有很年夜的提升。

The integrated circuit is the use of a special design techniques and special integration technology, the transistors constituting the semiconductor circuit, diodes, resistors, capacitors, and other basic single components, fabricated in a semiconductor single wafer (e.g. silicon or gallium arsenide) or a ceramic insulatingon the base sheet, and press the circuit required to complete the interconnection between the components, and then encapsulated in a housing, to complete a specific circuit function or system function, and all of the components and their connection status, parameter specifications and characteristics of state, trial,use, maintenance, are indivisible unity of the trade, derived from the circuit so that the integrated circuit.

The fulladder as the basic computing unit, has a very wide range of applications in many VLSI systems is to build the core of the microprocessor and DSP arithmetic circuit. With the continuous development of IT, VLSI integration and speed of the arithmetic circuit, power consumption, new requirements, increase speed to reduce power consumption as the goal, many solutions are constantly being raised. If you can speed, power and area performance improvements, the bound has improved the overall performance of integrated circuits.

Based on the International SMIC 0.18μm 1P6M digital process, supply voltage 1.8V, namely, a circuit structure is simple, small delay, low power consumption, small chip area of the full adder structure; the unit share 11 transistors, three XNOR gate in the critical path to achieve highspeed carry chain, and to supplement the decline in the potential of logic on the critical path due to the loss of threshold voltage caused by the inverter to meet the requirements of

highspeed and low power consumption. Verilog code to achieve the functionality of the full adder circuit; cadence software, draw a schematic diagram of the full adder, compiled simulation, schematic and verify the simulation results. The full adder structure proposed in this paper have greatly improved in speed, power and area performance.

1.1课题布景

随着半导体集成电路制造工艺不竭进步,特征尺寸不竭缩小,工艺特征尺寸缩小到纳米级;工艺技术对结构的影响通过几十年的积累产生了质的变更,关于纳米工艺下的CMOS集成电路设计的研究也越来越重要。随着集成电路的设计进入到纳米时代,片内晶体管数目的增加,年夜年夜增加了芯片庞杂度,晶体管特征尺寸的缩小则增加了物理设计的难度(纳米级的物理设计需要考虑串扰、片内参数漂移、可生产性、电源完整性等一系列问题),这些都年夜幅度增加了设计本钱及设计周期。在0.18微米之后晶体管工作电压难以随着工艺的进步而降低,虽然每个晶体管的功耗随着特征尺寸的缩小有所减少,但晶体管数目的增加以及主频的提高使得整个芯片的功耗年夜幅度增加,这部分功耗在芯片上产生热量使得芯片温度上升,会招致芯片效率降低或者操纵毛病,也会使得便携式电子产品的电池寿命降低。所以在纳米工艺条件下对集成电路新结构的探索和追求以及对功耗问题的研究已经成为芯片系统设计的主题,更是集成电路领域一直成长的趋势。

全加器需要两个半加器组合,即全加器需要庞杂性强很多的体系来完成逻辑运算。近年来,实现全加器的各种逻辑类型相继被提

出来,根本目的在于提高全加器速度和降低功耗。由于全处理器需要更庞杂性的分子体系,所以对全加器的性能有着越来越高的要求,总的来说,设计的鲁棒性、硅片面积、可靠性、驱动能力、输出阈值损失、延迟和功耗这些都可以作为衡量加法器性能的指标。是设计全加器时需要着重考虑的因素。

1.2课题意义

全加器作为基本的运算单位,在很多VLSI系统中都有很广泛的应用,是构建CPU和DSP等运算电路的核心,其速度和功耗以及面积等的性能将直接影响到整个集成电路的表示;如果能将这些性能改进,势必对集成电路整体性能有所提升;而随着信息技术的不竭成长,人们对低功耗,高性能和高集成度的不竭追求,电源电压不竭降低,特征尺寸不竭减小,已经达到纳米级水平,由此在集成电路设计中越来越多新的物理效应需要加以考虑,比方低电源电压下的信号驱动能力、互连延迟,纳米集成电路的漏电,功耗密度和物理实现等等;这些对低功耗高速度的追求对在纳米工艺下设计全加器的提出了许多挑战。本文提出的11晶体管1位全加器,较现今各种文献介绍的全加器结构在速度和功耗以及尺寸上都有很年夜提升。

二、设计流程

基于SMIC 0.18μm 1P6M 纳米CMOS工艺,设计了一种电路结

构简单,延时小,功耗低,芯片面积小的全加器结构,该全加器单位共只用了28个只晶体管。用Candence的Virtuso软件完成了电路原理图的绘制、编译、仿真等工作,并进行结果阐发。并完成版图的设计,和规划布线。

三、课设内容

1. 查找文献,设计一个加法器电路;

2. 给予Candence的Virtuso平台画出电路图;

3. 采取Spectre对加法器进行仿真,主要仿真内容:加法器功能、负载电容、功耗;

4. 基于Virtuso平台画出加法器电路的版图,包含MOS晶体管的版图;

5. 提交课设陈述;

6.完成辩论。

四、实验原理

4.1加法器基来源根基理

加法器有全加器和半加器之分。全加器和半加器的区别在于,全加器有三个输入,半加器有两个输入,既全加器比半加器多了一个来自低位的进位输入,但全加器可由两个半加器构成。

4.1.1半加器基来源根基理

1)半加器原理

一个半加器有两个输入x和y以及两个输出(和s与进位输出

c)。半加器表达式:

s=x⊕y

(21)

c=x.y;

(22)

其中x和y是输入,s为和,c为进位输出。

2)半加器真值表

表2.1 半加器真值表

3)半加器门级逻辑

图2.1 半加器逻辑图图2.2 半加器符号

4.1.2全加器基来源根基理

1)全加器原理

全加器是一个能对两个一位二进制数及来自低位的“进位”进

行相加,产生本位“和”及向高位“进位”的逻辑电路,该电路有

3个输入变量,辨别是两个加数 a和b 和一个低位 C,两个输出

变量,辨别是本位Sum和高进位 CARRY。一位全加器的逻辑表达式:

S um=A⊕B⊕C

(23)

CARRY=AB+ C(A+ B)(24)

其中 A,B 为加数和被加数,C为进位输入;SUM 为和,CARRY是

进位输出;

2)全加器逻辑

图2.3 全加器门及逻辑图图2.4 基于半加器的全加器

设计图

3)一位全加器的真值表

表2.2 全加器真值表

C是进位输入,A和B是加法器的输入,sum是和输出,carry是进

位输出、

当加法器内部产生进位输出CARRY时,进位产生函数C(即A,B)

为1.当进位传输函数P(即:A+B)为1时,进位输入信号C传送

到进位输出CARRY端,即此时若C=1.则CARRY=1。

通过优化进位门,可以减小逐位进位。例如,对组合逻辑加法器可

做如下优化:

(1)把进位输入信号C控制的MOS管放置在靠近输出真个处所,使其他各输入信号能够先对门电路进行控制,以减少受C控制的MOS管的衬偏调制效应。

(2)在求“和”门中,栅极与carry’相连的所有MOS管采取最小的尺寸,以使carry’信号的电容负载最小。这个信号的连线也尽可能地短,并且少用扩散区作为连线。

4.2. 镜像加法器

4.3. 电路图

五、上机步调:

5.1. 画电路图步调

1.掀开PC机;

2.掀开虚拟机进入Linux环境;

3.在桌面按右键选择新建终端;

4.输入icfb 进入操纵环境;

5.建立自己的库文件;

6.画原理图,保管并检查毛病;

7.更改各个器件的参数;

8.设置仿真环境;

9.选择要观察的线路;

10.检查波形是否合适要求,不合适要求就去原理图改输入信

号,然后再重新按步调进行操纵,直到合适要求。

11.调器件画版图

12.检查规划布线规则

5.2 画版图步调

在做完电路图的基础上画版图:

1.添加画版图的文件

2.输入icfb& 进入操纵环境

3.ToolsTechnology File ManagerAttach(选择自己的库和所加库对应即可)

4.在自己的库文件下建立一个单位文件,画版图,保管并检查毛病

六、加法器电路图:

6.1原理图:

6.2 全加器电路图结构

6.3 自己画的电路图

6.4 波形验证:

采取0.18um工艺模型

本设计是基于180纳米工艺实现的。

6.5 tran(瞬态)阐发

瞬态仿真阐发是在给定的输入激励下,在设定的时间规模内计

算电路的时域瞬态响应性能。

要验证设计电路的稳定性,速度,精确度等问题必须经过各种情况

下的瞬态阐发才干做出正确的

判断。

图:5.3 瞬态阐发

6.6 波形输出参数

仿真波形共有五个参数,输入A、B、C,输出SUM、CARRY

图5.4 波形输出参数

6.7 28管全加器网表

6.8仿真波形

6.9 编译仿真波形结果阐发

1)仿真结果验证

如图所示:波形有输入A、B、C;输出CARRY和Sum

经计算验证满足全加器输入输出公式:

Sum=A⊕B⊕C

(23)

CARRY=AB+ C(A+ B)(24)

所以编译和仿真的结果正确。

2)噪声影响

图中的全加器的输出波形存在毛刺,是因为有噪声的原因,属于正常现象不影响输出波形结果。

七、版图设计

7.1版图

版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸年夜小、各层拓扑界说等有关器件的所有物理信息。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不合的工艺,有不合的设计规则。版图在设计的过程中要进行按期的检查,避免毛病的积累而招致难以修改。版图设计流程:

1)系统规范化说明(System Specification)

包含系统功能、性能、物理尺寸、设计模式、制造工艺、设计周期、设计用度等等。

2)功能设计(Function Design)

将系统功能的实现计划设计出来。通常是给出系统的时序图及各子模块之间的数据流图。

3)逻辑设计(Logic Design)

这一步是将系统功能结构化。通常以文本、原理图、逻辑图暗示设计结果,有时也采取布尔表达式来暗示设计结果。

4)电路设计(Circuit Design)

电路设计是将逻辑设计表达式转换成电路实现。

5)物理设计(Physical Design or Layout Design)

物理设计或称版图设计是VLSI设计中最费时的一步。它要将电路设计中的每一个元器件包含晶体管、电阻、电容、电感等以及它们之间的连线转换成集成电路制造所需要的版图信息。

6)设计验证(Design Verification)

在版图设计完成以后,很是重要的一步工作是版图验证。主要包含:设计规则检查(DRC)、版图的电路提取(NE)、电学规检查(ERC)和寄生参数提取(PE)

7.2 版图设计规则

用特定工艺制造电路的物理掩膜版图都必须遵循一系列几何图形排列的规则,这些规则称为版图设计规则。设计规则是以晶圆厂实际制造过程为基准,经过实际验证过的一整套参数,是进行版图设计必须遵守的规则,版图设计是否合适设计规则是流片是否胜利的一个关键。

设计规则包含几何规则、电学规则以及走线规则。设计规则可分类为:

1)拓扑设计规则(绝对值):最小宽度、最小间距、最短露头、离周边最短距离);

2)λ设计规则(相对值):最小宽度w=mλ、最小间距s=nλ、最短露头t=lλ、离周边最短距离d=hλ(λ由IC制造厂提供,与具体的工艺类型有关,m、n、l、h为比例因子,与图形类形有关);

3)宽度规则(width rule):

宽度指封闭几何图形的内边之间的距离。在利用DRC(设计规则检查)对版图进行几何规则检查时,对宽度低于规则中指定的最小宽度的几何图形,计算机将给犯毛病提示。

图4.1最小宽度、最年夜宽度

4)间距规则(Separation rule):

间距指各几何图形外鸿沟之间的距离。

图4.2(a)同一工艺层的间距(spacing) 图4.2(b)

不合工艺层的间距(separation)

5)交叠规则(Overlap rule)

交叠有两种形式:

<1>一个几何图形内鸿沟到另一个图形的内鸿沟长度(intersect)

<2>一个几何图形外鸿沟到另一个图形的内鸿沟长度

(enclosure)

图4.3(a) Intersect 图4.3(a) enclosure

制定设计规则的主要目的是为了在制造时能用最小的硅片面积达到较高的制品率和电路可靠性。

7.3修改前版图

上图是最开始的版图,因为忘了版图的规划布线的规则,犯了很多毛病,比方:没进行分层,也没注意金属间的最小间距,以及源极,漏极和基极只能与M1相连,而要与另外金属相连则需要买通孔。在老师的指点帮忙下把版图进行了修改。

如下图所示。

7.4 修改后版图

八、课设心得

以前用candence这个软件年夜大都任务都是画电路图,很少接触版图的设计,这次应该是我第一次真正的接触版图设计,之前学过也都忘的差未几了,可以说版图得从零学起。在课设的时候自然遇到特别多问题,都是老师一点点儿诲人不倦的帮我解答的,通过这次课设真心学到了很多工具,也充分的完成的年夜学阶段的最后一次课设。

实验一1位全加器电路设计

实验一1位全加器电路的设计 一、实验目的 1、学会利用Quartus Ⅱ软件的原理图输入方法设计简单的逻辑电路; 2、熟悉利用Quartus Ⅱ软件对设计电路进行仿真的方法; 3、理解层次化的设计方法。 二、实验内容 1、用原理图输入方法设计完成一个半加器电路。并进行编译与仿真。 2、设计一个由半加器构成1位全加器的原理图电路,并进行编译与仿真。 3、设计一个由1位全加器构成4位加法器的原理图电路,并进行编译与仿真。 三、实验步骤 1. 使用Quartus建立工程项目 从【开始】>>【程序】>>【ALtera】>>【】打开Quartus软件,界面如图1-1示。 图1-1 Quartus软件界面 在图1-1中从【File】>>【New Project Wizard...】新建工程项目,出现新建项目向导New Project Wizard 对话框如图1-2所示。该对话框说明新建工程应该完成的工作。

在图1-2中点击NEXT进入新建项目目录、项目名称和顶层实体对话框,如图1-3 所示,顶层实体名与项目名可以不同,也可以不同。输入项目目录如E:\0512301\ first、工程项目名称和顶层实体名同为fadder。 图1-2 新建工程向导说明对话框 图1-3 新建工程目录、项目名、顶层实体名对话框

接着点击NEXT进入新建添加文件对话框如图1-4所示。这里是新建工程,暂无输入文件,直接点击NEXT进入器件选择对话框如图1-5所示。这里选择Cyclone 系列的EP1C6Q240C8。 图1-4 新建添加文件对话框

图1-5器件选择对话框 点击NEXT进入添加第三方EDA开发工具对话框如图1-6所示。

全加器与半加器原理及电路设计

全加器与半加器原理及电路设计 在数字系统中,加法器是最基本的运算单元。任何二进制算术运算,一般都是按一定规则通过基本的加法操作来实现的。 1.二进制 十进制中采用了0,1,2,…,9十个数码,其进位规则是“逢十进一”。当若干个数码并在一起时,处在不同位置的数码,其值的含义不同。例如373可写成 二进制只有0和1两个数码,进位规则是“逢二进一”,即1+1=10(读作“壹零”,而不是十进制中的“拾”)。0和1两个数码处于不同数位时,它们所代表的数值是不同的。例如10011这个二进制数,所表示的大小为 这样,就可将任何一个二进制数转换为十进制数。 反过来,如何将一个十进制数转换为等值的二进制数呢?由上式可见 ,,,,分别为相应位的二进制数码1或0。它们可用下法求得。 19用2去除,得到的余数就是;其商再连续用2去除,得到余数,,,,直到最后的商等于0为止,即 2 1 9 余数 ……………………………….余1(d0) ………………………………余1(d1) ……………………………….余0(d2) ……………………………….余0(d3) 0 …………………………… …余1(d4) 所以 可见,同一个数可以用十进制和二进制两种不同形式表示,两者关系如表8-13所示。 表8-13 十进制和二进制转换关系

由表8-14可直接写出 半加器可以利用一个集成异或门和与门来实现,如图8-40(a)所示。图8-40(b)是半加器的逻辑符号。 表8-14 半加器真值表 1101 由真值表可分别写出输出端Si和Ci的逻辑表达式 和的逻辑表达式中有公用项,因此,在组成电路时,可令其共享同一异或门,从而使整体得到进一步简化。一位全加器的逻辑电路图和逻辑符号如图8-41所示。 图8-41 全加器逻辑图及其逻辑符号 多位二进制数相加,可采用并行相加、串行进位的方式来完成。例如,图8-42所示逻辑电路可实现两个四位二进制数和的加法运算。

FPGA4位全加器的设计

目录 一、设计原理 (2) 二、设计目的 (3) 三、设计容 (3) 四、设计步骤 (3) 五、总结与体会 (7)

4位全加器设计报告 一、设计原理 全加器是指能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位。 4位加法器可以采用4个以为全加器级连成串行进位加法器,如下图所示,其中CSA为一位全加器。显然,对于这种方式,因高位运算必须要等低位进位来到后才能进行,因此它的延迟非常可观,高速运算无法胜任。 A和B为加法器的输入位串,对于4位加法器其位宽为4位,S为加法器输出位串,与输入位串相同,C为进位输入(CI)或输出(CO)。 实现代码为:全加器真值表如下: module adder4(cout,sum,ina,inb,cin); output[3:0]sum; output cout; input[3:0]ina,inb; 输入输出Xi Yi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

input cin; assign {count,sum}=ina+inb+cin; endmodule 二、设计目的 ⑴熟悉ISE9.1开发环境,掌握工程的生成方法。 ⑵熟悉SEED-XDTK XUPV2Pro实验环境。 ⑶了解Verilog HDL语言在FPGA中的使用。 ⑷了解4位全加器的Verilog HDL语言实现。 三、设计容 用Verilog HDL语言设计4位全加器,进行功能仿真演示。 四、设计步骤 1、创建工程及设计输入。 ⑴在E:\progect\目录下,新建名为count8的新工程。

半加器和全加器及其应用

实验二半加器和全加器及其应用 一、实验目的 1.掌握全加器和半加器的逻辑功能。 2.熟悉集成加法器的使用。 3.了解算数运算电路的结构。 二、实验设备 1.数字电路试验箱; 2.74LS00,74SL86。 三、实验原理 半加器(m =0半加,m=1为半减) 能实现两个一位二进制数的算术加法及向高位进位,而不考虑低位进位的逻辑电路。 它有两个输入端,两个输出端。 半加器电路是指对两个输入数据位进行加法,输出一个结果位和高位的进位,不考虑输入数据的进位的加法器电路。 是实现两个一位二进制数的加法运算电路。数据输入A 被加数、B加数,数据输出S和数(半加和)、进位C0。 同理,能对两个1位二进制数进行相减不考虑低位来的借位求得差及借位的逻辑电路称为半减器.设减数和被减数分别用A和B,表示差用S,表示向高位的借位用C0。

全加器,全减器(m =0为全加,m=1为全减) 全加器是实现两个一位二进制数及低位来的进位数相加(即将三个一位二进制数相加),求得和数及向高位进位的逻辑电路。根据全加器功能,其真值表如下表所示。表中A及B分别代表被加数及加数,C1是低位来的进位,S代表相加后得到的和位,C0代表向高位的进位。图中C1是进位输入端,C0是进位输出端。 同理,能对两个1位二进制数进行相减并考虑低位来的借 位求得差及借位的逻辑电路称为全减器.设减数和被减数 分别用A和B表示低位来的借位用C1,表示差用S,表 示向高位的借位用C0。 四、实验内容 实验一、实现半加器,半减器,当M为0时实现逻辑 变量A、B的半加功能,当M为1时实现逻辑变量A、 B的半减功能。 实验二、实现全加器,全减器,当M为0时实现逻辑 变量A、B的全加功能,C i为进位值。 当M为1时实现逻辑变量A、B的全减功能,C i为借 位值。 五、实验数据 1实现半加、半减器 (1)真值表

半加器全加器的工作原理和设计方法实验报告

一、实验目的 1、学习和掌握半加器全加器的工作原理和设计方法。 2、熟悉EDA工具Quartus II的使用,能够熟练运用Vrilog HDL语言在 Quartus II下进行工程开发、调试和仿真。 3、掌握组合逻辑电路在Quartus Ⅱ中的图形输入方法及文本输入方法, 掌握层次化设计方法。 4、掌握半加器、全加器采用不同的描述方法。 二、实验容 1、完成半加器全加器的设计,包括原理图输入,编译、综合、适配、仿真等。并将半加器电路设 置成一个硬件符号入库 2、建立更高层次的原理图设计,利用1位半加器构成1位全加器,并完成编译、综合、适配、仿 真并硬件测试 3、采用图形输入法设计1位加法器分别采用图形输入和文本输入方法,设计全加器 4、实验报告:详细叙述1位全加法器的设计流程,给出各层次的原理图及其对应的仿真波形图, 给出加法器的上时序分析情况,最后给出硬件测试流程和结果。 三、实验步骤 1、建立一个Project。 2、编辑一个VHDL程序,要求用VHDL结构描述的方法设计一个半加器 3、对该VHDL程序进行编译,修改错误。 4、建立一个波形文件。(根据真值表) 5、对该VHDL程序进行功能仿真和时序仿真 四、实验现象 任务1:半加器真值表描述方法 代码如下: 半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路 S=A B+A B CO=AB

代码如下: LIBRARY IEEE; --行为描述半加器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; so,co:OUT STD_LOGIC); END h_adder; Architecture FH1 OF h_adder IS Signal abc:STD_LOGIC_vector(1 downto 0); Begin abc<=a&b; --并 Process(abc) --进程 begin case abc is WHEN "00"=>SO<='0';CO<='0'; WHEN "01"=>SO<='1';CO<='0'; WHEN "10"=>SO<='1';CO<='0'; WHEN "11"=>SO<='0';CO<='1'; WHEN OTHERS =>NULL; END CASE; END PROCESS; END ARCHITECTURE FH1; 结果如下: 逻辑图 半加器真值表 A i B i S i C i 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1

实验一四位串行进位加法器的设计实验报告

实验一四位串行进位加法器的设计 一、实验目的 1.理解一位全加器的工作原理 2.掌握串行进位加法器的逻辑原理 3.进一步熟悉Quartus软件的使用,了解设计的全过程, 二、实验内容 1.采用VHDL语言设计四位串行进位的加法器 2.采用画原理图的方法设计四位串行进位加法器 三、实验步骤 1、使用VHDL语言设计 1.打开File—>New Project Wizard输入文件名adder4保存在D盘内,打开File—>New—>VHDL File,从模版中选择库的说明,use语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后保存、编译。打开File—>New—>Other File—>Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。从Assignments—>Settings—>Simulator Settings —>Functional 然后Processing—>Generate Functional Simnlation Netlist —>确定。选择Start Simulation保存最后的波形图,打开File —>close关闭工程。 底层文件: LIBRARY ieee;

USE fadder IS PORT ( a, b,cin : IN STD_LOGIC; s, co : OUT STD_LOGIC ); END fadder; ARCHITECTURE arc1 OF fadder IS BEGIN s<=a xor b xor cin; co<=((a xor b)and cin)or(a and b); END arc1; 顶层文件: LIBRARY ieee; USE adder4 IS PORT ( c0: IN STD_LOGIC; a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); c4 : OUT STD_LOGIC );

一位全加器电路版图设计

目录 1 绪论 (1) 1.1 设计背景 (1) 1.2 设计目标 (1) 2一位全加器电路原理图编辑 (2) 2.1 一位全加器电路结构 (2) 2.2 一位全加器电路仿真分析波形 (3) 2.3 一位全加器电路的版图绘制 (4) 2.4一位全加器版图电路仿真并分析波形 (4) 2.5 LVS检查匹配 (6) 总结 (7) 参考文献 (8) 附录一:电路原理图网表 (9) 附录二:版图网表 (11)

1 绪论 1.1 设计背景 Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows 平台的用于集成电路设计的工具软件。早期的集成电路版图编辑器L-Edit在国内已具有很高的知名度。Tanner EDA Tools 也是在L-Edit的基础上建立起来的。整个设计工具总体上可以归纳为电路设计级和版图设计级两大部分,即以S-Edit为核心的集成电路设计、模拟、验证模块和以L-Edit为核心的集成电路版图编辑与自动布图布线模块。Tanner软件包括S-Edit,T-Spice, L-Edit与LVS[1]。 L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。 1.2 设计目标 1.用tanner软件中的原理图编辑器S-Edit编辑一位全加器电路原理图 2.用tanner软件中的TSpice对一位全加器的电路进行仿真并分析波形 3.用tanner软件中的版图编辑器L-Edit进行一位全加器电路的版图绘制,并进行DRC验证 4.用tanner软件中的TSpice对一位全加器的版图进行仿真并分析波形 5.用tanner软件的layout-Edit中的lvs功能对一位全加器进行LVS检验观察原理图与版图的匹配程度

半加器全加器的工作原理和设计方法实验报告样本

一、实验目 1、学习和掌握半加器全加器工作原理和设计办法。 2、熟悉EDA工具Quartus II使用,可以纯熟运用Vrilog HDL语言在 Quartus II下进行工程开发、调试和仿真。 3、掌握组合逻辑电路在Quartus Ⅱ中图形输入办法及文本输入办法, 掌握层次化设计办法。 4、掌握半加器、全加器采用不同描述办法。 二、实验内容 1、完毕半加器全加器设计,涉及原理图输入,编译、综合、适配、仿真等。并将半加器电路设 置成一种硬件符号入库 2、建立更高层次原理图设计,运用1位半加器构成1位全加器,并完毕编译、综合、适配、仿真 并硬件测试 3、采用图形输入法设计1位加法器分别采用图形输入和文本输入办法,设计全加器 4、实验报告:详细论述1位全加法器设计流程,给出各层次原理图及其相应仿真波形图,给出加 法器上时序分析状况,最后给出硬件测试流程和成果。 三、实验环节 1、建立一种Project。 2、编辑一种VHDL程序,规定用VHDL构造描述办法设计一种半加器 3、对该VHDL程序进行编译,修改错误。 4、建立一种波形文献。(依照真值表) 5、对该VHDL程序进行功能仿真和时序仿真 四、实验现象

任务1:半加器真值表描述办法 代码如下: 半加器是只考虑两个加数自身,而不考虑来自低位进位逻辑电路 S=A B+A B CO=AB 代码如下: LIBRARY IEEE ; --行为描述半加器 USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; so,co:OUT STD_LOGIC); END h_adder ; Architecture FH1 OF h_adder IS Signal abc:STD_LOGIC_vector(1 downto 0); Begin abc<=a&b ; --并 Process(abc) --进程 begin case abc is 逻辑图 半加器真值表 A i B i S i C i 0 0 0 1 1 0 1 1 0 0 1 0 1 0 0 1

FPGA4位全加器的设计

目录 一、设计原理 (1) 二、设计目的 (1) 三、设计内容 (2) 四、设计步骤 (2) 五、总结与体会 (6)

4位全加器设计报告 一、设计原理 全加器是指能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位。 4位加法器可以采用4个以为全加器级连成串行进位加法器,如下图所示,其中CSA 为一位全加器。显然,对于这种方式,因高位运算必须要等低位进位来到后才能进行,因此它的延迟非常可观,高速运算无法胜任。 A 和 B 为加法器的输入位串,对于4位加法器其位宽为4位,S 为加法器输出位串,与输入位串相同, C 为进位输入(CI )或输出(CO )。 实现代码为: 全加器真值表如下: module adder4(cout,sum,ina,inb,cin); output[3:0]sum; output cout; input[3:0]ina,inb; input cin; assign {count,sum}=ina+inb+cin; endmodule 二、设计目的 ⑴熟悉ISE9.1开发环境,掌握工程的生成方法。 ⑵熟悉SEED-XDTK XUPV2Pro 实验环境。 ⑶了解Verilog HDL 语言在FPGA 中的使用。 ⑷了解4位全加器的Verilog HDL 语言实现。 输 入 输 出 Xi Yi Ci-1 Si Ci 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

三、设计内容 用Verilog HDL语言设计4位全加器,进行功能仿真演示。 四、设计步骤 1、创建工程及设计输入。 ⑴在E:\progect\目录下,新建名为count8的新工程。 ⑵器件族类型(Device Family)选择“Virtex2P” 器件型号(Device)选“XC2VP30 ff896-7” 综合工具(Synthesis Tool)选“XST(VHDL/Verilog)” 仿真器(Simulator)选“ISE Simulator”

全加器设计

学院:计算机学院 专业:信息与计算科学 姓名:方荣华 学号:0908060223 班级:0902 全加器 一位全加器 全加器是能够计算低位进位的二进制加法电路 一位全加器(FA)的逻辑表达式为: S=A⊕B⊕Cin Co=AB+BCin+ACin 其中A,B为要相加的数,Cin为进位输入;S为和,Co是进位输出; 如果要实现多位加法可以进行级联,就是串起来使用;比如32位+32位,就需要32个全加器;这种级联就是串行结构速度慢,如果要并 行快速相加可以用超前进位加法, 超前进位加法前查阅相关资料; 如果将全加器的输入置换成A和B的组合函数Xi和Y(S0 (3) 制),然后再将X,Y和进位数通过全加器进行全加,就是ALU的逻辑结构 结构。 即 X=f(A,B) Y=f(A,B) 不同的控制参数可以得到不同的组合函数,因而能够实现多种算术 运算和逻辑运算。 半加器、全加器、数据选择器及数据分配器 1.验证半加器、全加器、数据选择器、数据分配器的逻辑功能。 2.学习半加器、全加器、数据选择器的使用。 3.用与非门、非门设计半加器、全加器。 4.掌握数据选择器、数据分配器扩展方法。 1.半加器和全加器 根据组合电路设计方法,列出半加器的真值表,见表7。逻辑表达式为: S =AB + AB= A⊕B C = AB 半加器的逻辑电路图如图17所示。 用两个半加器可组成全加器,原理图如图18所示。 在实验过程中,我们可以选异或门74LS86及与门74LS08来实现半加器的逻辑功能;也可用全与非门如74LS00、反相器74LS04组成半加器。这里全加器不用门电路构成,而选用集成的双全加器74LS183。其管脚排列

半加器和全加器的设计

实验一.半加器,全加器的设计1,半加器的设计, 方法一 library ieee ; use ieee.std_logic_1164.all; entity h_adder1 is port(a,b :in std_logic; c,s :out std_logic); end entity h_adder1; architecture one of h_adder1 is begin s<=a xor b;c<=a and b; end architecture one; 运行结果: 方法二: 运行结果:

2,全加器的设计 方法一: library ieee; use ieee.std_logic_1164.all; entity f_adder1 is port(a,b,cin :in std_logic; sum,cout :out std_logic); end entity f_adder1; architecture arch of f_adder1 is component h_adder1 port( a,b :in std_logic; s,c :out std_logic); end component; component or23 port (a,b :in std_logic; c: out std_logic); end component; signal x:std_logic_vector(0 to 2); begin u1: h_adder1 port map(a,b,x(1),x(0)); u2: h_adder1 port map(x(1),cin,sum,x(2)); u3: or23 port map(a=>x(0),b=>x(2),c=>cout); end arch; 运行结果: 方法二:

实验一 4位全加器的设计

实验一4位全加器的设计 一、实验目的: 1 熟悉QuartusⅡ与ModelSim的使用; 2 学会使用文本输入方式和原理图输入方式进行工程设计; 3 分别使用数据流、行为和结构化描述方法进行四位全加器的设计; 4 理解RTL视图和Technology Map视图的区别; 5 掌握简单的testbench文件的编写。 二、实验原理: 一个4位全加器可以由4个一位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的进位输入信号cin相接。 三、实验内容: 1.QuartusII软件的熟悉 熟悉QuartusⅡ环境下原理图的设计方法和流程,可参考课本第4章的内容,重点掌握层次化的设计方法。 2.设计1位全加器原理图 设计的原理图如下所示:

VHDL源程序如下(行为描述):-- Quartus II VHDL Template -- Unsigned Adder library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity f_add is port ( a : in std_logic; b : in std_logic; ci : in std_logic; y : out std_logic; co : out std_logic ); end entity; architecture rtl of f_add is begin (co,y)<=('0',a)+('0',b)+('0',ci); end rtl;

全加器的设计

实验课程名称:EDA技术与应用 实验项目名称4位全加器实验实验成绩 实验者专业班级组别 同组者 / 实验日期 一、实验目的 1、加深理解全加器的工作原理及电路组成,加深对EDA技术的掌握。 2、熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个四位全加器的设计把握原理图输入方式设计的详细流程。 二、实验内容 用原理图输入法设计4位全加器。 三、实验仪器 Quartus II软件 四、实验原理 一个4位全加器可以由4个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 1、半加器描述 根据半加器真值表可以画出半加器的电路图。 a b so Co 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 表1 半加器h_adder真值表 图1 半加器h_adder电路图 2、一位全加器描述 一位全加器可以由两个半加器和一个或门连接而成,因而可以根据半加器的电路原理图或真值表写出1位全加器的VHDL描述,1位全加器电路图如图所示:

图2 一位全加器电路图 3、4位全加器设计描述 4位全加器可以看做四个1位全加器级联而成,首先采用基本逻辑门设计一位全加器,而后通过多个1位全加器级联实现4位全加器。 其中,其中cin 表示输入进位位,cout 表示输出进位位,输入A 和B 分别表示加数和被加数。S 为输出和,其功能可用布尔代数式表示为: S A B Ci =++ i i i i o ABC ABC ABC ABC C +++= 首先根据一位全加器的布尔代数式应用基本逻辑门设计一位全加器,而后仿真验证一位全加器设计,准确无误后生成元件,供4位全加器设计用。将4个1位全加器级联构成四位全加器。 五、实验步骤 1、为本项工程设计建立文件夹 :文件夹取名为adder ,路径为d:\adder 。 2、建立原理图文件工程和仿真 原理图编辑输入流程如下: 1) 打开原理图编辑窗。打开Quartus Ⅱ,选菜单File →new ,选择原理图文件编 辑输入项Block Diagram/Schematic File ,按OK 键。 2) 建立一个初始化原理图。在编辑窗口点击右键,在弹出菜单中选择输入元件项 Insert →Symbol,将元件调入原理图编辑窗口中 3) 原理图文件存盘。选择菜单File →Save As,将此原理图存于刚才建立的目录 d:\adder 中,取名为h_adder.bdf 。 4) 建立原理图文件为顶层设计工程。然后将此文件h_adder.bdf 设定为工程。 5) 绘制半加器原理图。将元件放入原理图编辑窗口,按图1接好电路。

组合逻辑电路设计之全加器半加器

班级姓名学号 实验二组合电路设计 一、实验目的 (1)验证组合逻辑电路的功能 (2)掌握组合逻辑电路的分析方法 (3)掌握用SSI小规模集成器件设计组合逻辑电路的方法 (4)了解组合逻辑电路集中竞争冒险的分析和消除方法 二、实验设备 数字电路实验箱,数字万用表,74LS00, 74LS86 三、实验原理 1 ?组合逻辑概念 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路的过去状态无关。因此,组合电路的 特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合电路的输入信号和输出信号往往不只一个,其功能描述方法通常有函数表达式、真值表,卡诺图和逻辑图等几种。 实验中用到的74LS00和74LS86的引脚图如图所示。 00 四2输入与非门 4B 4A 4Y 3B 3A 3Y 1A 1B 1Y 2A 2B 2Y GND 2?组合电路的分析方法。 组合逻辑电路分析的任务是:对给定的电路求其逻辑功能,即求出该电路的输出与输入之间的关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。分析一般分为

(1)由逻辑图写出输出端的逻辑表达式,简历输入和输出之间的关系。 (2)列出真值表。 (3)根据对真值表的分析,确定电路功能。 3?组合逻辑电路的设计方法。 组合逻辑电路设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 一般设计的逻辑电路的过程如图 (1)通过对给定问题的分心,获得真值表。在分析中要特别注意实际问题如何抽象为几个输入变量和几个 输出变量直接的逻辑关系问题,其输出变量之间是否存在约束关系,从而过得真值表或简化真值表。 (2)通过卡诺图化简或逻辑代数化简得出最简与或表达式,必要时进行逻辑式的变更,最后画出逻辑图。 (3)根据最简逻辑表达式得到逻辑电路图。 四?实验内容。 1?分析,测试半加器的逻辑功能。 (1 )用74LS00组成半加器电路如图所示。写出逻辑表达式,验证逻辑关系。 (2 )用异或门74LS86和74LS00组成半加器,自己画出电路,将测试结果填入自拟表格中, 验证逻辑关系。 所以的卡诺图为:

一位全加器的设计

课程设计任务书 学生:袁海专业班级:电子1303班 指导教师:封小钰工作单位:信息工程学院 题目: 一位全加器的设计 初始条件: 计算机、ORCAD软件,L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周 2、技术要求: (1)学习ORCAD软件,L-EDIT软件。 (2)设计一个一位全加器电路。 (3)利用ORCAD软件对该电路进行系统设计、电路设计,利用L-EDIT软件进行版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 2016.12.30布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2016.12.31-2017.1.2学习ORCAD软件和L-EDIT软件,查阅相关资料,复习所设计容的基本理论知识。 2017.1.3-2017.1.4对一位全加器电路进行设计仿真工作,完成课设报告的撰写。 2017.1.5 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要........................................................................ I ABSTRACT ................................................................... II 1绪论. (1) 1.1集成电路发展现状 (1) 1.2集成电路版图工具L-edit简介 (1) 2全加器原理及一位全加器原理图设计 (3) 2.1一位全加器原理简介 (3) 2.2实现一位全加器功能的原理图设计 (4) 2.2.1一位全加器原理图 (4) 2.2.2基于ORCAD的一位全加器设计 (4) 2.2.3 一位全加器的电路图仿真 (7) 3一位全加器的版图设计 (9) 3.1确定一位全加器版图结构 (9) 3.2源漏共享缩小版图面积 (10) 3.3 版图所需基础器件绘制编辑 (12) 3.3.1 PMOS、NMOS等基础器件编辑 (12) 3.3.2 两输入与非门与异或门的绘制编辑 (13) 3.3.3源漏共享得到版图 (14) 3.4 绘制最终一位全加器版图 (15) 4心得体会 (18) 5参考文献 (19)

用门电路设计一位的全加器

实验二组合逻辑设计 一、实验目的 1、掌握组合电路设计的具体步骤和方法; 2、巩固门电路的运用和电路搭建能力; 3、掌握功能表的建立与运用; 4、为体验MSI(中规模集成电路)打基础。 二、实验使用的器件和设备 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 TDS-4数字系统综合实验平台1台 三、实验内容 1.测试四2输入异或门74LS86 一个异或门的输入和输出之间的逻辑关系。 2.测试四2输人与非门74LS00一个与非门的输入和输出之间的逻辑关系。 3.等价变换Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4.画出变换后的原理图和接线图。 四、实验过程 1、选择实验题目,分析逻辑功能 用门电路设计一位的全加器 一位全加器:在进行两个数的加法运算时不仅要考虑被加数和加数而且要考虑前一位(低位)向本位的进位的一种逻辑器件。 2 3、根据真值表写出逻辑函数表达式; Si=Ai○十Bi○十Ci-1 Ci=AiBi +(Ai○十Bi)Ci-1 4、利用卡诺图法或布尔代数法对逻辑函数表达式进行化简;

不需化简 Si=Ai ○十Bi ○十Ci-1 Ci=AiBi +(Ai ○十Bi )Ci-1 5、将化简的逻辑表达式等价变换,统计出实验所需芯片; Si=Ai ○十Bi ○十Ci-1 所需芯片: 四2输入异或门74LS86 1片 四2输入正与非门74LS00 1片 6、根据各芯片的引脚图,测试所有需用芯片的功能,画出各芯片的功能表; 1A 11B 231Y 42A VCC 4B 4A 4Y 141312112B 52Y 67 GND 3B 3A 3Y 1098 74LS86 VCC A B 1A 11B 2 31Y 42A VCC 4B 4A 4Y 141312112B 52Y 67 GND 3B 3A 3Y 1098 74LS00 VCC A B 74LS86接线图 74LS00接线图 74LS 86芯片测试结果 74LS00 芯片测试结果 7、根据化简后的逻辑函数表达式,画出实验原理图; 8、根据芯片的引脚图,画出实际连线图; A B 理论值 测量值 0 0 0 0 0 1 1 1 1 0 1 1 1 1 A B 理论值 测量值 0 0 1 1 0 1 1 1 1 0 1 1 1 1

4位全加器设计解析

可编程逻辑器件设计大作业 题目四位全加器设计 学院自动化与电气工程学院班级 姓名 学号 2104年12月30 日

目录 摘要 ...............................................................................................错误!未定义书签。 1.设计目的 ..................................................................................错误!未定义书签。2.设计要求?错误!未定义书签。 3.设计原理?错误!未定义书签。 3.1.四位全加器 (1) 3.2.四位全加器的原理图...................................................错误!未定义书签。 4.设计方案 ..................................................................................错误!未定义书签。 4.1.仿真软件?错误!未定义书签。 4.2.全加器原理...................................................................错误!未定义书签。 4.2.1一位全加器的设计与原理 ............................................错误!未定义书签。 4.2.2四位全加器的原理及程序设计 ..................................错误!未定义书签。5.程序设计...............................................................................错误!未定义书签。 6.仿真及结果..............................................................................错误!未定义书签。总结与体会?错误!未定义书签。 参考文献?错误!未定义书签。

8位全加器的设计解析

课程设计报告 课程名称数字逻辑课程设计 课题8位全加器的设计 专业计算机科学与技术 班级1202 学号34 姓名贺义君 指导教师刘洞波陈淑红陈多 2013年12月13日

课程设计任务书 课程名称数字逻辑课程设计课题8位全加器的设计 专业班级计算机科学与技术1202 学生姓名贺义君 学号34 指导老师刘洞波陈淑红陈多审批刘洞波 任务书下达日期:2013年12月13日任务完成日期:2014年01月21日

一、设计内容与设计要求 1.设计内容: 本课程是一门专业实践课程,学生必修的课程。其目的和作用是使学生能将已学过的数字电子系统设计、VHDL程序设计等知识综合运用于电子系统的设计中,掌握运用VHDL或者Verilog HDL 设计电子系统的流程和方法,采用Quartus II等工具独立应该完成1个设计题目的设计、仿真与测试。加强和培养学生对电子系统的设计能力,培养学生理论联系实际的设计思想,训练学生综合运用数字逻辑课程的理论知识的能力,训练学生应用Quartus II进行实际数字系统设计与验证工作的能力,同时训练学生进行芯片编程和硬件试验的能力。 题目一4线-16线译码器电路设计; 题目二16选1选择器电路设计; 题目三4位输入数据的一般数值比较器电路设计 题目四10线-4线优先编码器的设计 题目五8位全加器的设计 题目六RS触发器的设计; 题目七JK触发器的设计; 题目八D触发器的设计; 题目九十进制同步计数器的设计; 题目十T触发器的设计; 每位同学根据自己学号除以10所得的余数加一,选择相应题号的课题。 参考书目 1 EDA技术与VHDL程 序开发基础教程 雷伏容,李俊,尹 霞 清华大学出版 社 978-7-302-22416-7 2010 TP312VH/36 2 VHDL电路设计雷伏容清华大学出版 社 7-302-14226-2 2006 TN702/185 3 VHDL 电路设计技术王道宪贺名臣 刘伟 国防工业出版 社 7-118-03352-9 2004 TN702/62 4 VHDL 实用技术潘松,王国栋7-8106 5 7-81065-290-7 2000 TP312VH/1 5 VHDL 语言100 例详 解 北京理工大学 ASIC研究所 7-900625 7-900625-02-X 1999 TP312VH/3 6 VHDL编程与仿真王毅平等人民邮电出版 社 7-115-08641-9 2000 73.9621/W38V 7 VHDL程序设计教程邢建平曾繁泰清华大学出版 社 7-302-11652-0 2005 TP312VH/27/3

半加器和全加器

《电子技术基础》实验报告 年级专业姓名学号 实验一数字钟实验 一、实验目的 1、初步了解数字电路的基本组成。 2、初步认识什么是数字信号、逻辑电平和逻辑关系,以及某些逻辑元件的基本逻辑功能。 3、初步接触数字电路的调试过程,以达到对数字电路有一个大体的感性认识。 二、实验任务 1、用74LS161型中规模计数器连接成一个十进制和一个六进制计数器。并 连接成一个六十进制的秒、分计数器。再用两片74LS161连接成一个二十 四进制计数器。与译码器、显示电路连接后将六十进制和二十四进制器连接 起来,完成能显示分、时的数字钟。 2、掌握译码器和计数器的大致工作原理 3、实验记录数码管的亮暗关系表,计数器、译码器输出与脉冲关系;并总结实验过程,绘 制好实验图表,体会译码器和计数器的大致工作原理,认真作好实验报告。 三、实验过程过程与结果 1、绘制数字钟电路:

实验二组合逻辑实验 实验目的: 1、掌握组合逻辑电路的功能测试方法及设计方法 2、熟悉几种典型组合逻辑电路的工作原理。 实验任务: 1、用与非门和非门(74LS00和74LS05型)设计一个半加器电路。只考虑被加数和加数的相应位相加,而不考虑相邻低位的进位,这种二进制数相加称为半加。因此,电路输入为被加数A和B加数,而输出为半加和S和向高位的进位C。要求设计出一个半加器单元。 2、用与非门和与-或-非门(74LS00和74LS54型)设计一个全加器电路。全加器与半加器相比较,输入信号多了一个低位的进位Ci-1,输出仍为全加和和向高位进位。设计一个全加器单元。 3、实验结束写出实验报告,必须包括各实验的电路图,测试后的真值表及有关数据。 三、实验过程过程与结果 1.绘制半加器电路和全加器电路: 半加器电路 全加器电路

四位全加器设计

四位全加器设计 The design of 4 bit full_adder4 摘要通过EDA软件,利用VHDL硬件描述语言,与原理图来完成四位全加器设计,此设计由简单到复杂,先合成一个半加器,再通过元件例化语句编写一位全加器,然后用四个全加器采用原理图便可合成此设计,并进行时序仿真,硬件下载 Abstrct Making use of VHDL and EDA soft-ware complete a four-f_adder design,It is a simple way tranffering to a complex way.At first,we are able to make up a h_adder,then making full use of it and an component sentence carry out a f_adder,at last ,we can adopt the picture of theory,then the design is on my eyes. 关键词 VHDL语言、半加器、全加器、原理图四位全加器设计 Key words VHDL language ,h_adder,f_adder,principium_ picture,full_adder4 引言VHDL于1983年由美国国防部发起创建,由IEEE进一步发展,从此,VHDL 成为硬件描述语言的业界标准之一, VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行描述和建模,从而大大简化了硬件设计任务,提高了设计效率和可靠性,现今已得到广泛应用,此时利用它的优势来实现四位全加器设计. 1 掌握基本知识 1.1电路的VHDL描述有两大部分组成 1.1.1以关键词ENTITY引导,END ENTITY mux21a结尾的语句部分,称为实体。VHDL的实体描述了电路器件的外部情况及各信号端口的基本性质. 1.1.2以关键词ARCHITECTURE引导,END ARCHITECTURE one 结尾的语句部分,成为结构体。结构体负责描述电路器件的内部逻辑功能或电路结构。 1.2原理图的相关知识 这是一种类似于传统的原理图编辑输入方式,即在EDA软件的图形编辑界面上绘制能完成特定功能的电路原理图。原理图由逻辑器件

相关文档
最新文档