R语言实验

R语言实验
R语言实验

实验6 参数估计

一、实验目的:

1. 掌握矩法估计与极大似然估计的求法;

2. 学会利用R 软件完成一个和两个正态总体的区间估计;

3. 学会利用R 软件完成非正态总体的区间估计;

4. 学会利用R 软件进行单侧置信区间估计。

二、实验内容:

练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法:

法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt 键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn ”等字符),即完成截图。再粘贴到word 文档的相应位置即可。 法2:利用QQ 输入法的截屏工具。点击QQ 输入法工具条最右边的“扳手”图标,选择

其中的“截屏”工具。)

1. 自行完成教材P163页开始的4.1.3-4.3节中的例题。

2. (习题4.1)设总体的分布密度函数为

??

?<<+=,

,

10)1();(其他x x x f α

αα

X 1,X 2,…,X n 为其样本,求参数α 的矩估计量1?α

和极大似然估计量2?α。现测得样本观测值为

0.1, 0.2, 0.9, 0.8, 0.7, 0.7

求参数 α 的估计值。

解:先求参数α 的矩估计量1?α

。由于只有一个参数,因此只需要考虑E(X )=X 。 而由E(X )的定义有:E(X )=

2

1

|21)1()(1021

++=++=+?=?++∞

-?

?ααααααα

x dx x x dx x f x 因此

X =++21αα,解得211

?1--=X

α。

以下请根据上式完成R 程序,计算出参数α 的矩估计量1?α

的值。

源代码及运行结果:(复制到此处,不需要截图) x<-c(0.1, 0.2, 0.9, 0.8, 0.7, 0.7) >(2*mean(x)-1)/(1-mean(x)) [1] 0.3076923

下面再求参数α 的极大似然估计量2?α

。只需要考虑x ∈(0, 1)部分。依题意, 此分布的似然函数为 L (α; x )=

∏∏==+=n

i i

n

n i i

x x f 1

1

)()1();(ααα

相应的对数似然函数为 ln L (α; x )=n ln(α +1)+ α ln

∏=n

i i

x

1

令 ++=??1);(ln αααn

x L ln ∏=n

i i x 1

=0

解此似然方程得到1ln 1--

=∏=n

i i

x n α,或写为1ln 1

--

=∑=n

i i

x

n

α。

容易验证

0ln 22

,从而α 使得L 达到极大,即参数α 的极大似然估计量un 1ln ?1

2--=∑=n

i i

X

n

α

以下请根据上式完成R 程序,计算出参数α 的极大似然估计量2?α

的值。 源代码及运行结果:(复制到此处,不需要截图) >f<-function(a) 6/(a+1)+sum(log(x)) >uniroot(f,c(0,1)) $root

[1] 0.211182 $f.root

[1] -3.844668e-05 $iter [1] 5 $init.it [1] NA $estim.prec

[1] 6.103516e-05

3. (习题

4.2)设元件无故障工作时间X 具有指数分布,取1000个元件工作时间的

提示:

①根据教材P168例4.7知,指数分布中参数 λ 的极大似然估计是n /

∑=n

i i

X

1

②利用rep()函数。

解:源代码及运行结果:(复制到此处,不需要截图)

x<-c(rep(5,365),rep(15,245),rep(25,150),rep(35,100),rep(45,70),rep(55,45),rep(65,25)) >1000/sum(x) [1] 0.05

4. (习题4.3)为检验某自来水消毒设备的效果,现从消毒后的水中随机抽取50升,

化验 每升水中大肠杆菌的个数(假设一升水中大肠杆菌个数服从

Poisson 分布),其化验结果如下:

试问平均每升水中大肠杆菌个数为多少时,才能使上述情况的概率为最大? 解:此题实际就是求泊松分布中参数 λ 的极大似然估计。 泊松分布的分布律为 P {X =k }=

!

k e k λ

λ-, k =0,1,2,…, λ > 0

设x 1,x 2,…,x n 为其样本X 1,X 2,…,X n 的一组观测值。

于是此分布的似然函数为 L (λ; x )= L (λ; x 1,…,x n )=

λλ

λ

λn n x n

i i x e x x x e n

i i

i

-=-∑=

=∏

!

!!

111

相应的对数似然函数为 ln L (λ; x )= -n λ+

∑=n

i i

x

1

ln λ-

∑=n

i i

x 1

)!ln(

∑=++-=??n

i i x n x L 1

1);(ln λαλ=0 解此似然方程得到x =λ

容易验证0ln 2

2

?的值。同上题,也需要利用rep()函数。

源代码及运行结果:(复制到此处,不需要截图)

x<-c(rep(0,17),rep(1,20),rep(2,10),rep(3,2),rep(4,1),rep(5,0),rep(6,0))

>mean(x)

[1] 1

5.(习题4.4)利用R软件中的nlm()函数求解无约束优化问题

min f(x)=(-13+x1+((5-x2)x2-2)x2)2+(-29+x1+((x2+1)x2-14)x2)2,

取初始点x(0)=(0.5, -2)T。

提示:参考教材P173公式(4.13)对应的例题。

解:源代码及运行结果:(复制到此处,不需要截图)

obj<-function(x){

f<-c(-13+x[1]+((5-x[2])*x[2]-2)* x[2],-29+ x[1]+(( x[2]+1)* x[2]-14) *x[2])

sum(f^2)

}

> source("Rosenbrouk.R")

> x0<-c(0.5, -2)

> nlm(obj,x0)

$minimum

[1] 48.98425

$estimate

[1] 11.4127791 -0.8968052

$gradient

[1] 1.413268e-08 -1.462297e-07

$code

[1] 1

$iterations

[1] 16

结论:

$minimum是函数的最目标值,即f*=48.98425,$estimate是最优点的估计值,即x*=( 11.4127791, -0.8968052)T; $gradient是在最优点处(估计值)目标函数梯度值,即f*(1.413268e-08, -1.462297e-07)T; $code是指标,这里是1,表示迭代成功;$iterations 是迭代次数,这里是16,表示迭代了16次迭代。

6.(习题4.5)正常人的脉搏平均每分钟72次,某医生测得10例四乙基铅中毒患者

的脉搏数(次/min)如下:

54 67 68 78 70 66 67 70 65 69

已知人的脉搏次数服从正态分布,试计算这10名患者平均脉搏次数的点估计和95%的区间估计。并作单侧区间估计,试分析这10患者的平均脉搏次数是否低于正常人的平均脉搏次数。

提示:此题是一个正态总体的估计问题,且由于总体方差未知,因此可以直接使用R 语言中t.test()函数进行分析。

解:源代码及运行结果:(复制到此处,不需要截图)

x<-c(54 , 67 , 68 , 78 ,70 , 66 , 67 , 70 , 65, 69)

> t.test(x) #t.rest()做单样本正态分布区间估计

One Sample t-test

data: x

t = 35.947, df = 9, p-value = 4.938e-11

alternative hypothesis: true mean is not equal to 0

95 percent confidence interval:

63.1585 71.6415

sample estimates:

mean of x

67.4

> t.test(x,alternative="less",mu=72) #t.rest()做单样本正态分布单侧间估计

One Sample t-test

data: x

t = -2.4534, df = 9, p-value = 0.01828

alternative hypothesis: true mean is less than 72

95 percent confidence interval:

-Inf 70.83705

sample estimates:

mean of x

67.4

结论:

双侧区间估计:平均脉搏点估计为67.4,95%区间估计为63.1585 71.6415;

单侧区间估计:p= 0.01828<0.05,拒绝原假设,平均脉搏低于正常人。

7.(习题4.6)甲、乙两种稻种分别播种在10块试验田中,每块试验田甲、乙稻种各

种一半。假设两稻种产量X, Y均服从正态分布,且方差相等。收获后10块试验田

12

提示:此题是两个正态总体的区间估计问题,且由于两总体方差未知,因此可以直接使用R语言中t.test()函数进行分析。t.test()可做两正态样本均值差的估计。注意此例中两样本方差相等。参考教材P185倒数第四行开始至P186。

解:源代码及运行结果:(复制到此处,不需要截图)

> x<-c(140,137,136,140,145,148,140,135,144,141)

> y<-c(135,118,115,140,128,131,130,115,131,125)

> t.test(x,y,var.equal=TRUE)

Two Sample t-test

data: x and y

t = 4.6287, df = 18, p-value = 0.0002087

alternative hypothesis: true difference in means is not equal to 0

95 percent confidence interval:

7.536261 20.063739

sample estimates:

mean of x mean of y

140.6 126.8

结论:

期望差的95%置信区间为7.536261 20.063739

8.(习题4.7)甲、乙两组生产同种导线,现从甲组生产的导线中随机抽取4根,从

)分别为

假设两组电阻值分别服从正态分布N(1, )和N(1, ),未知。试求μ1-μ2的置信区间系数为0.95的区间估计。

提示:此题是两个正态总体的估计问题,且由于两总体方差未知,因此可以直接使用R 语言中t.test()函数进行分析。t.test()可做两正态样本均值差的估计。注意此例中两样本方差相等。参考教材P185倒数第四行开始至P186。

解:源代码及运行结果:(复制到此处,不需要截图)

> x<-c(0.143,0.142,0.143,0.137)

> y<-c(0.140,0.142,0.136,0.138,0.140)

> t.test(x,y,var.equal=TRUE)

Two Sample t-test

data: x and y

t = 1.198, df = 7, p-value = 0.2699

alternative hypothesis: true difference in means is not equal to 0

95 percent confidence interval:

-0.001996351 0.006096351

sample estimates:

mean of x mean of y

0.14125 0.13920

结论:

期望差的95%区间估计为-0.001996351 0.006096351

9.(习题4.8)对习题4.6中甲乙两种稻种的数据作方差比的区间估计,并用其估计

值来判定两数据是否等方差。若两数据方差不相等,试重新计算两稻种产量的期望差μ1-μ2的置信区间(α =0.05)。

提示:在R软件中,var.test()函数能够提供两个样本方差比的区间估计。参考教材P189倒数第6行开始的内容。此结果可认为方差不等。因此重新计算期望差时应该采取方差

不等的参数。

解:源代码及运行结果:(复制到此处,不需要截图) > x<-c(140,137,136,140,145,148,140,135,144,141) > y<-c(135,118,115,140,128,131,130,115,131,125) > var.test(x,y)

F test to compare two variances

data: x and y

F = 0.23533, num df = 9, denom df = 9, p-value = 0.04229 alternative hypothesis: true ratio of variances is not equal to 1 95 percent confidence interval: 0.05845276 0.94743902 sample estimates: ratio of variances 0.2353305

> t.test(x,y,)

Welch Two Sample t-test

data: x and y

t = 4.6287, df = 13.014, p-value = 0.0004712

alternative hypothesis: true difference in means is not equal to 0 95 percent confidence interval: 7.359713 20.240287 sample estimates: mean of x mean of y 140.6 126.8

结论:

期望差的95%置信区间为 7.359713 20.240287

10. (习题4.9)设电话总机在某段时间内接到的呼唤的次数服从参数未知的Poisson

此题给出完整答案,供参考。

解:从习题4.3已经知道,平均呼唤次数 λ 的估计值就是?X 。此题是非正态总体的区间估计问题。但由中心极限定理可知,此类问题其置信区间与方差σ 2未知时一个正态总体的均值的置信区间完全一样,即为]+

,[2/2/ααZ n

S X Z n

S X -

。参考教材P190

公式(4.46)及其后的程序。

源代码及运行结果:

> x<-c(rep(0,7),rep(1,10),rep(2,12),rep(3,8),rep(4,3),rep(5,2))

> n<-length(x)

> tmp<-sd(x)/sqrt(n)*qnorm(1-0.05/2)

> mean(x)

[1] 1.904762

> mean(x)-tmp;mean(x)+tmp #置信区间的下限和上限

[1] 1.494041

[1] 2.315483

结论:

平均呼唤次数为1.9

0.95的置信区间为[1.49, 2.32]。

11.(习题4.10)已知某种灯泡寿命服从正态分布,在某星期所生产的该灯泡中随机抽

取10 只,测得其寿命(单位:小时)为

1067 919 1196 785 1126 936 918 1156 920 948 求灯泡寿命平均值的置信度为0.95的单侧置信下限。

提示:此题是一个正态总体的区间估计问题,且由于总体方差未知,因此可以直接使用R语言中t.test()函数进行分析。根据教材P191定义4.7知,单侧置信下限,t.test()函数中的参数alternative="greater"。参考教材P193-194的例4.22及其后面一段文字。

解:源代码及运行结果:(复制到此处,不需要截图)

x<-c(1067 , 919 , 1196 , 785 , 1126 , 936 , 918 , 1156 , 920 , 948)

>t.test(x,alternative="greater")

One Sample t-test

data: x

t = 23.969, df = 9, p-value = 9.148e-10

alternative hypothesis: true mean is greater than 0

95 percent confidence interval:

920.8443 Inf

sample estimates:

mean of x

997.1

结论:

灯泡平均寿命置信度95%的单侧置信度下限为920.8443

思考:

1.常用的点估计的方法有哪些?

距法,极大似然法,最小二乘法等。

2.估计量的优良性准则有哪些?

无偏性,有效性和相合性(一致性)

3. 在R 语言中,求矩法估计时,如果令总体的k 阶原点矩等于它样本的k 阶原点矩

得到的k 个方程无法求出解析解,此时需要调用教材P103的2.9.3节作者编写的Newton 法程序求此方程组的解。在求极大似然估计时需要求解(对数)似然函数的极大值,如果无法求出其相应似然方程的解析解,若此(对数)似然函数是一维变量,可以用R 中的_optimeize()(或optimise())_____函数变相求解此(对数)似然函数的相反数的极小值?若此(对数)似然函数是多维变量,可以用R 中的

__nlm()__函数变相求解 θ

min –L (θ; x ) 或θ

min –ln L (θ; x )?

三、实验小结(必写,但字数不限)

这次实验主要学会掌握矩法估计与极大似然估计的求法,会利用R 软件完成一个和两个正态总体的区间估计,非正态总体的区间估计和单侧置信区间估计,还要会分析结果,得出结论。懂得什么样的题,用什么样的方法和函数。通过这次实验,已经掌握了一些基本参数估计知识,要多看书本,多加练习。

R语言实验

实验4 R绘图(一) 一、实验目的: 1.掌握描述性统计分析中常用的统计量; 2.掌握R语言绘制直方图、密度估计曲线、经验分布图和QQ图的方法; 3.掌握R语言绘制茎叶图、箱线图的方法; 4.掌握W检验方法和K-S检验方法完成数据的正态分布检验。 二、实验内容: 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“09张立1”,表示学号为09的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材P107页开始的节中的例题。 2.以前在做实验1的练习时,我们画过直方图。当时的题目是这样的: 利用hist()函数画直方图。 > X<-c(35,40,40,42,37,45,43,37,44,42,41,39) > hist(X) 这次实验先重新运行以上命令后,接着运行以下命令: > windows() #R作图会覆盖前一幅图,此命令是新开一个画图窗口 > hist(X, freq=F) 把两个图分别截下复制到下面,进行比较,你发现有什么不同? 答:纵坐标不同,一个是频数(Frequency),一个是密度(Density) 如果想把这两幅图画在同一个画图窗口中,可以输入以下命令: > par(mfrow=c(1,2)) #在一个窗口里放多张图,这里是1行2列共2个图 > hist(X) > hist(X,freq=F)

可编程逻辑器件与硬件描述语言

组合逻辑电路实验(一)实验报告 一.实验名称:3-8译码器设计 二.实验目的 1.掌握ISE 开发工具的使用,掌握FPGA 开发的基本步骤; 2.掌握组合逻辑电路设计的一般方法; 3.掌握程序下载方法,了解UCF 文件的格式; 4.初步了解开发板资源,掌握开发板使用方法。重点了解滑动开关和LED 显示灯的使用方法。 三.实验内容 1.用VHDL 实现3-8译码器模块 译码器电路如图2-1所示。其功能如表2-1所示。试用VHDL 实现该译码器,并在开发板上进行检验。 表2-1 译码器功能表 EN A B C Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 1 X X X 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 0 0 1 1 1 3-8 译码器 A B C EN Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 图2-1 3-8译码器

0 1 1 0 0 1 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 2.将程序下载到FPGA并进行检验 (1)资源使用要求:用滑动开关SW3~SW1作为输入A,B,C;滑动开关SW0控制EN;8个LED灯表示8个输出。 (2)检验方法:当SW0处于ON(EN=1)位置时,所有LED灯灭;当SW0处于OFF(EN=0),反映当前输入的译码输出在LED灯上显示,即当输入为000(滑动开关SW3-SW1处于OFF状态),LED0亮,其它灯灭,等等。 四.实验步骤 1.启动ISE,新建工程文件,编写3-8译码器的VHDL模块; 2.新建UCF文件,输入位置约束; 3.完成综合、实现,生成下载文件; 4.连接开发板USB下载线,开启开发板电源; 5.下载到FPGA; 6.拨动开关,验证结果是否正确。 五.主要vhdl代码 architecture Behavioral of coder_38 is --3-8译码器行为级描述signal x:STD_LOGIC_VECTOR (2 downto 0); begin x <= A&B&C;

R语言实验

精心整理 实验8假设检验(二) 一、实验目的: 1.掌握若干重要的非参数检验方法( 2检验——列联表独立性检验,Mcnemar 检验——对一个样本两种研究方法是否有差异的检验,符号检验,Wilcoxon 符号秩检验,Wilcoxon秩和检验); 2.掌握另外两个相关检验:Spearman秩相关检验,Kendall秩相关检验。 二、实验内容: 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“09张立1”,表示学号为09的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“PrScrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材第五章的例题。

2.(习题5.11)为研究分娩过程中使用胎儿电子监测仪对剖腹产率有无影响,对 5824例分娩的经产妇进行回顾性调查,结果如下表所示,试进行分析。 5824例经产妇回顾性调查结果 H H P=9.552e-10<0.05,拒绝原假设,分娩过程中使用胎儿电子监测仪对剖腹产率有影响 3.(习题5.12)在高中一年级男生中抽取300名考察其两个属性:B是1500米长跑, C是每天平均锻炼时间,得到4×3列联表,如下表所示。试对 =0.05,检验B 与C是否独立。

硬件描述语言及器件实验指导书

硬件描述语言及器件实验指导书 电子科学与技术专业组

第1章实验一用硬件描述语言的方法设计一个三输入与门电 路 一、实验目的 1、掌握MAX+plusII的使用方法。 2、掌握VHDL语言程序的基本结构。 2、掌握使用硬件描述语言设计数字电路的方法和步骤。 3、掌握用硬件描述语言设计三输入与门电路的方法。 二、实验器材 1.台式计算机1台。 2.可编程逻辑逻辑器件实验软件1套。 3.下载电缆一套。 4.示波器一台。 三、实验说明 1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。 四、实验内容与要求 用硬件描述语言的方法设计一个三输入与门电路,要求: (1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现,并进行仿真;

(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真; 五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述) 1.打开新建菜单选择“textedtiorfile”,这个文件是写程序编程用的。 2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能是桌面和硬盘的根目录,否则在后面的编程将出现错误。

3.在file→projectset→projecttocurrentfile,这步是将文件设置在工程里,能够正常编译和下载。

R语言实验

实验8 假设检验(二) 一、实验目的: 1.掌握若干重要的非参数检验方法( 2检验——列联表独立性检验,Mcnemar检验 ——对一个样本两种研究方法是否有差异的检验,符号检验,Wilcoxon符号秩检 验,Wilcoxon秩和检验); 2.掌握另外两个相关检验:Spearman秩相关检验,Kendall秩相关检验。 二、实验内容: 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材第五章的例题。 2.(习题5.11)为研究分娩过程中使用胎儿电子监测仪对剖腹产率有无影响,对5824例 分娩的经产妇进行回顾性调查,结果如下表所示,试进行分析。 剖腹产 胎儿电子监测仪 合计使用未使用 是358 229 587 否2492 2745 5237 合计2850 2974 5824 解:提出假设: H0:分娩过程中使用胎儿电子监测仪对剖腹产率无影响 H1:分娩过程中使用胎儿电子监测仪对剖腹产率有影响 源代码及运行结果:(复制到此处,不需要截图) > x<-c(358,2492,229,2745) > dim(x)<-c(2,2)

课程名称FPGA与硬件描述语言

课程名称:FPGA与硬件描述语言 课程编码:7002301 课程学分:2学分 课程学时:32学时 适应专业:电子信息工程、电子信息工程(理工科实验班) 《FPGA与硬件描述语言》 FPGA and Hardware Describing Language 教学大纲 一、课程性质与任务 性质:本课程的授课对象为电子信息工程专业二年级本科生,课程属性为专业基础必修课,该课程讲授FPGA基本原理及结构,先进的硬件描述语言(VHDL语言),FPGA设计与应用等知识。 任务:通过对(VHDL)硬件描述语言,FPGA设计等知识的学习,掌握硬件描述语言,FPGA设计的基本知识。培养学生动手能力以及解决实际问题的能力。理解VHDL语言,学会FPGA设计方法等。 二、课程教学基本内容及要求 第一章绪论VHDL的数据和表达式 (一)教学基本要求: 掌握:VHDL程序的特点,VHDL的数据,VHDL的表达式。 了解:FPGA基本原理及结构。 (二)教学基本内容: 绪论:FPGA基本原理及结构 第一章VHDL的数据和表达式 1.1 VHDL程序的特点 1.2 VHDL程序的基本结构 1.3 VHDL的数据 1.4 VHDL的表达式 第二章VHDL的顺序描述语句 (一)教学基本要求: 掌握:信号赋值语句和变量赋值语句,if语句,case语句,null语句。 理解:loop语句。 (二)教学基本内容:

第二章VHDL的顺序描述语句 2.1信号赋值语句和变量赋值语句 2.2 if语句 2.3 case语句 2.4 loop语句 2.5 null语句 第三章VHDL的并行描述语句 (一)教学基本要求: 掌握:进程语句,并发信号赋值语句,元件例化语句。 理解:条件信号赋值语句,选择信号赋值语句。 了解:生成语句。 (二)教学基本内容: 第三章VHDL的并行描述语句 3.1进程语句 3.2并发信号赋值语句 3.3条件信号赋值语句 3.4选择信号赋值语句 3.5元件例化语句 3.6生成语句 第四章VHDL的时钟信号描述方法 (一)教学基本要求: 掌握:时钟的VHDL描述方法,时序电路中复位信号的VHDL描述方法。(二)教学基本内容: 第四章VHDL的时钟信号描述方法 4.1时钟信号的VHDL描述方法 4.2时序电路中复位信号的VHDL描述方法 第五章VHDL的有限状态机的设计 (一)教学基本要求: 掌握:有限状态机的基本概念及应用。 理解:一个Moore型有限状态机的设计实例 (二)教学基本内容: 第五章VHDL的有限状态机的设计 5.1 有限状态机的基本概念 5.2一个Moore型有限状态机的设计实例

R语言实验指导书(二)

R语言实验指导书(二) 2016年10月27日

实验三创建和使用R语言数据集 一、实验目的: 1.了解R语言中的数据结构。 2.熟练掌握他们的创建方法,和函数中一些参数的使用。 3.对创建的数据结构进行,排序、查找、删除等简单的操作。 二、实验内容: 1.向量的创建及因子的创建和查看 有一份来自澳大利亚所有州和行政区的20个税务会计师的信息样本 1 以及他们各自所在地的州名。州名为:tas, sa, qld, nsw, nsw, nt, wa, wa, qld, vic, nsw, vic, qld, qld, sa, tas, sa, nt, wa, vic。 1)将这些州名以字符串的形式保存在state当中。 2)创建一个为这个向量创建一个因子statef。 3)使用levels函数查看因子的水平。 2.矩阵与数组。

i.创建一个4*5的数组如图,创建一个索引矩阵如图,用这个索引矩 阵访问数组,观察结果。 3.将之前的state,数组,矩阵合在一起创建一个长度为3的列表。

4.创建一个数据框如图。 5.将这个数据框按照mpg列进行排序。 6.访问数据框中drat列值为3.90的数据。

三、实验要求 要求学生熟练掌握向量、矩阵、数据框、列表、因子的创建和使用。

实验四数据的导入导出 一、实验目的 1.熟练掌握从一些包中读取数据。 2.熟练掌握csv文件的导入。 3.创建一个数据框,并导出为csv格式。 二、实验内容 1.创建一个csv文件(内容自定),并用readtable函数导入该文件。 2.查看R语言自带的数据集airquality(纽约1973年5-9月每日空气质 量)。 3.列出airquality的前十列,并将这前十列保存到air中。 4.查看airquality中列的对象类型。 5.查看airquality数据集中各成分的名称 6.将air这个数据框导出为csv格式文件。(write.table (x, file ="", sep ="", https://www.360docs.net/doc/5017004526.html,s =TRUE, https://www.360docs.net/doc/5017004526.html,s =TRUE, quote =TRUE)) 三、实验要求 要求学生掌握从包中读取数据,导入csv文件的数据,并学会将文件导出。

硬件描述语言与FPGA技术实验指导书(2012版)

《硬件描述语言与FPGA技术》 实验指导书 西北工业大学 2012/10/10

目录 实验一简单的组合逻辑设计 (3) 实验二简单分频时序逻辑电路的设计 (6) 实验三利用条件语句实现计数分频时序电路 (9) 实验四阻塞赋值与非阻塞赋值的区别 (12) 实验五用always块实现较复杂的组合逻辑电路 (16) 实验六在Verilog中使用函数 (20) 实验七在Verilog HDL中使用任务(task) (23) 实验八利用有限状态机进行时序逻辑的设计 (27) 实验九利用状态机实现比较复杂的接口设计 (32) 练习十利用SRAM设计一个FIFO (39)

实验一简单的组合逻辑设计 一、实验目的 1. 学习Quartus和ModSim两种EDA工具的使用方法; 2.掌握基本组合逻辑电路的实现方法; 3.初步了解两种基本组合逻辑电路的生成方法; 4.学习测试模块的编写; 5.通过综合和布局布线了解不同层次仿真的物理意义。 二、实验内容 本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。 三、实验仪器、设备 预装了开发工具ModelSimSE、synplify的PC机。 四、实验原理 1.组合逻辑电路是指在任何时刻,输出状态只决定于同一时刻各输入状态的组合而与 电路以前状态无关,而与其他时间的状态无关。其逻辑函数如下: Li=f(A1,A2,A3……An) (i=1,2,3…m) 其中,A1~An为输入变量,Li为输出变量。 2.组合逻辑电路的特点归纳如下: ① 输入、输出之间没有返馈延迟通道; ② 电路中无记忆单元。 3.组合逻辑设计示例:可综合的数据比较器。它的功能是比较数据a与数据b,如果 两个数据相同,则给出结果1,否则给出结果0。描述组合逻辑时常使用assign 结构。注意equal=(a==b)?1:0,这是一种在组合逻辑实现分支判断时常使用的格 式。 模块源代码: //--------------- compare.v ----------------- module compare(equal,a,b);

R语言实验6

实验6 参数估计 一、实验目的: 1. 掌握矩法估计与极大似然估计的求法; 2. 学会利用R 软件完成一个和两个正态总体的区间估计; 3. 学会利用R 软件完成非正态总体的区间估计; 4. 学会利用R 软件进行单侧置信区间估计。 二、实验内容: 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt 键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn ”等字符),即完成截图。再粘贴到word 文档的相应位置即可。 法2:利用QQ 输入法的截屏工具。点击QQ 输入法工具条最右边的“扳手”图标,选择 其中的“截屏”工具。) 1. 自行完成教材P163页开始的4.1.3-4.3节中的例题。 2. (习题4.1)设总体的分布密度函数为 ?? ?<<+=, , 10)1();(其他x x x f α αα X 1,X 2,…,X n 为其样本,求参数α 的矩估计量1?α 和极大似然估计量2?α。现测得样本观测值为 0.1, 0.2, 0.9, 0.8, 0.7, 0.7 求参数 α 的估计值。 解:先求参数α 的矩估计量1?α 。由于只有一个参数,因此只需要考虑E(X )=X 。 而由E(X )的定义有:E(X )= 2 1 |21)1()(1021 ++= ++=+?=?++∞ ∞ -? ?ααααααα x dx x x dx x f x 因此 X =++21αα,解得211 ?1--=X α。 以下请根据上式完成R 程序,计算出参数α 的矩估计量1?α 的值。

R语言实验二

R语言实验二

实验2 R基础(二) 一、实验目的: 1.掌握数字与向量的运算; 2.掌握对象及其模式与属性; 3.掌握因子变量; 4.掌握多维数组和矩阵的使用。 二、实验内容: 1.完成教材例题; 2.完成以下练习。 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序

文件等(如果有的话),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的 “截屏”工具。) 1.自行完成教材P58页 2.2-2.5节中的例题。 2.(习题2.1)建立一个R文件,在文件中输 入变量x = (1,2,3)T,y = (4,5,6)T,并 作以下运算 (1)计算z = 2x + y + e,其中e = (1,1, 1)T; (2)计算x与y的内积; (3)计算x与y的外积。 解:源代码:

R语言实验二

实验2 R基础(二) 一、实验目的: 1.掌握数字与向量的运算; 2.掌握对象及其模式与属性; 3.掌握因子变量; 4.掌握多维数组和矩阵的使用。 二、实验内容: 1.完成教材例题; 2.完成以下练习。 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材P58页 2.2-2.5节中的例题。 2.(习题2.1)建立一个R文件,在文件中输入变量x = (1,2,3)T,y = (4,5,6)T, 并作以下运算 (1)计算z = 2x + y + e,其中e = (1,1,1)T; (2)计算x与y的内积; (3)计算x与y的外积。 解:源代码: (1)x<-c(1,2,3) y<-c(4,5,6) e<-c(1,1,1) z=2*x+y+e z1=crossprod(x,y) #z1为x与y的内积或者x%*%y z2=tcrossprod(x,y) #z2为x与y的外积或者x%o%y z;z1;z2 (2) x<-c(1,2,3) y<-c(4,5,6) e<-c(1,1,1)

R语言实验一

实验1 R基础(一) 一、实验目的: 1.熟悉实验报告书的书写要求; 2.熟悉R的界面及基本操作。 二、实验内容: 1.熟悉R官方网站及下载安装方法; 2.熟悉R的界面及菜单功能; 3.掌握R的简单操作; 4.利用R 软件进行一些简单的数学运算。 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.访问R的官方网站,了解网站基本框架和内容:https://www.360docs.net/doc/5017004526.html,/。 2.在镜像网站CRAN下载最新版R安装程序。选择离自己最近的国内的镜像网站, 点击进入其中一个镜像网站后,下载最新版的Windows下的安装程序。

3. 安装R 程序(如果实验电脑已经安装,则可跳过此步骤)。双击R-3.2.3-win.exe (目 前最新版)开始安装。一直点击下一步,各选项默认。 4. 在R 中进行简单的计算。 实验基本原理与方法: (1) R 的基本界面是一个交互式命令窗口,命令提示符是一个大于号“>”,命令的结 果马上显示在命令下面。 (2) R 命令主要有两种形式:表达式或赋值运算(用“<-”表示)。在命令提示符后键 入一个表达式表示计算此表达式并显示结果。赋值运算把赋值号右边的值计算出来赋给左边的变量。<- 表示赋值,c( )用来构建向量(一维数组),用来将多个值存储在一个变量(向量)中,X<-c( )即表示将一组数据赋给变量 X 。 (3) R 语言区分大小写,即 X 与 x 不同。 (4) 一行中允许有多个命令,多个命令由(;)分隔;基本命令由({和})合并成复合表达式。 (5) 注释以“#”开始,到行末结束;命令未结束,R 给出提示符(+)。 (6) 可以用向上光标键来找回以前运行的命令再次运行或修改后再运行。 完成以下基本计算(将输入和输出一起截图) (1) -9的3次方乘以 5再除以 6;(^,*,/) (2) 3 的算术平方根; (sqrt()) (3) 10 的自然对数;(log()) (4) 以 10 为底的3+2π 的对数;(log10(),pi ) (5) 以自然对数为底的3.2 的指数;(exp()) (6) 三角函数cos 2π的值;(cos()) (7) 连乘计算:①47P ,即7*6*5*4 ;(prod(7:4)) ②3!; ③7*6*5*4/3! ; (8) 组合数计算:① 26C ; ② 1/26C 。(利用上一题) 运行结果截图:

硬件描述语言实验报告

《硬件描述语言》实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 指导教师: 年月

实验一简单组合逻辑设计 一、实验目的及要求: 1.掌握基本组合逻辑电路的实现方法。 2.初步了解两种基本组合逻辑电路的生成方法。 3.学习测试模块的编写。 4.通过综合和布局布线了解不同层次仿真的物理意义。 二、实验设备及要求 装有modesim和synplify的电脑一台 三、实验内容与步骤 1.实验内容: 本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。 2.实验步骤: (1)建立工程文件,编写模块源码和测试模块,要求测试模块对源文件进行比较全面的测试; (2)编译源码和测试模块,用测试模块对源文件进行测试,并进行仿真;(3)观察综合后生成的文件和源文件的不同点和相同点。 (4)综合时采用不同的FPGA器件,观察综合后的结果有什么不同。 四、实验结果与数据处理: 1.RTL图及仿真后波形图:

2.综合后的电路图: 五、分析与讨论: 1.课本练习一的测试方法二中,第二个initial块有什么用?它与第一个initial块有什么关系? 答:测试方法二中的第二个initial用来暂停仿真以便观察仿真波形,它与第一个initial是并行关系 2.如果在第二个initial块中,没有写出#10000或者$stop,仿真会如何进行?答:如果没有写#10000,仿真会直接停止,没有$stop,仿真不会结束。

3.比较两种测试方法,哪一种更全面? 答:第二种测试方法更全面,测试了更多种的变换的情况。 实验二简单分频时序逻辑电路的设计 一、实验目的及要求: 1.掌握条件语句在简单时序模块设计中的使用; 2.掌握verilog语句在简单时序模块设计中的使用; 3.学习在Verilog模块中应用计数器; 4.学习测试模块的编写、综合和不同层次的仿真。 二、实验设备及要求 装有modesim和synplify的电脑一台 三、实验内容与步骤: 1.实验内容: (1)使用always块和@(posedge clk)或@(negedge clk)的结构来表述时序逻辑,设计1/2分频的可综合模型。得到如下波形图: (2)对模块进行RTL级仿真、综合后门级仿真,布局布线仿真; 2.实验步骤: (1)建立工程文件,编写模块源码和测试模块,要求测试模块能对源文件进行比较全面的测试。 (2)编译源码和测试模块,用测试模块对源文件进行测试,并综合仿真。得到波形图。 (3)观察综合后生成的文件和源文件的不同点和相同点。

verilog硬件描述语言历次实验报告

西北工业大学 《硬件描述语言》实验报告 实验一简单组合逻辑设计 (2) 实验二简单分频时序逻辑电路的设计 .............................................. 错误!未定义书签。实验三利用条件语句实现技术分频时序电路.................................... 错误!未定义书签。实验四阻塞赋值与非阻塞赋值的区别 .............................................. 错误!未定义书签。实验五用always块实现较复杂的组合逻辑电路 ............................... 错误!未定义书签。实验六在V erilog HDL中使用函数 .................................................. 错误!未定义书签。实验七在V erilog HDL中使用任务 .................................................. 错误!未定义书签。实验八利用有限状态机进行时序逻辑的设计.................................... 错误!未定义书签。 学院:计算机学院 学号: 姓名: 专业:计算机科学与技术 实验时间: 2011.11 实验地点:实验大楼 指导教师: 西北工业大学 2011 年11 月

实验一简单组合逻辑设计 实验日期:实验成绩:指导老师: 一.实验目的: 1.掌握基本组合逻辑电路的实现方法。 2.初步了解两种基本组合逻辑电路的生成方法。 3.学习测试模块的编写。 4.通过综合和布局布线了解不同层次仿真的物理意义。 二.实验设备: 安装Modelsim-6.5c的PC机。 三.实验内容: 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出 结果1,否则给出结果0 四.实验代码 module compare(equal,a,b); input a,b; output equal; assign equal=(a==b)?1:0; endmodule `timescale 1ns/1ns module t; reg a,b; wire equal; initial begin a=0; b=0;

阎石《数字电子技术基础》(第5版)(课后习题 硬件描述语言简介)【圣才出品】

第9章 硬件描述语言简介 9.1 用Verilog HDL 语言的结构描述方式,描述图9-1所示电路的逻辑功能。 图9-1 解:由图9-1可知 Result () A B C =?+Verilog HDL 描述语言为 9.2 用 Verilog HDL 语言实现对题4.6所要设计电路的逻辑功能描述。解:由题4.6的结论可知,所要描述的电路如图9-2所示。 图9-2 Verilog HDL 描述语言为

module control (A,B,C,L,S); input A,B,C; output L,S; wire Bnot; wire T; not G 1(Bnot,B); and G 2(T,Bnot,C); or G 3(S,A,T); buf G 4(L,B); endmodule 9.3 用Verilog HDL 语言描述一个4 选1数据选择器。解:4选1数据选择器的功能如图9-3所示。 图9-3

Verilog HDL描述语言为 module MUX4_to_1 (A,B,C,D,S1,S0,Y,YN); input A,B,C,D,S1,S0; output Y,YN; wire AT,BT; assign AT = S0 ? D : C; assign BT = S0 ? B : A; assign Y = (S1 ? AT : BT); assign YN = ~Y; endmodule 9.4 用Verilog HDL语言描述一个4位超前进位加法器。 解://主模块

//1位全加器模块 //通过A0、B0、CI计算C1 //通过A0、B0、A1、B1和CI计算C2 //通过A0、B0、A1、B1、A2、B2和CI计算C3

统计学R语言实验

实验5 R绘图(二) 一、实验目的: 1.熟练掌握描述性统计分析中常用的统计量; 2.掌握R语言的高水平作图命令; 3.掌握R语言的低水平作图命令; 4.掌握多元数据的三个数据特征:均值向量、协方差矩阵、相关系数矩阵。 二、实验容: 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109立1”,表示学号为1305543109的立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话,本次实验没有),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材P130页开始的3.3-3.4节中的例题。 2.(习题 3.5)小白鼠在接种了3种不同菌型的伤寒杆菌后的存活天数如下表所示, 试绘出数据的箱线图(采用两种方法,一种是plot语句,另一种是boxplot语句)来判断小白鼠被注射3种菌型后的平均存活天数有无显著性差异? 白鼠试验数据 菌型存活天数 1 2 4 3 2 4 7 7 2 2 5 4 2 5 6 8 5 10 7 12 12 6 6 3 7 11 6 6 7 9 5 5 10 6 3 10 因此,这里考虑用箱线图中的中位数来进行比较。 解:源代码: y<-c(2,4,3,2,4,7,7,2,2,5,4, 5,6,8,5,10,7,12,12,6,6, 7,11,6,6,7,9,5,5,10,6,3,10) f<-factor(c(rep(1,11),rep(2,10),rep(3,12))) plot(f,y)

硬件描述语言试题

2007/2008 学年第一学期末考试试题(A卷) 硬件描述语言及器件 使用班级:05182401/2/3 一、填空题(20分,每空格1分) 1、VHDL是否区分大小写?。 2、digital_ _8标识符合法吗?。12_bit标识符合法吗?。 signal标识符合法吗?。 3、结构体有三种描述方式,分别是、、和。 4、请分别列举一个常用的库和程序包、。 5、一个信号处于高阻(三态)时的值在VHDL中描述为。 6、将一个信号width定义为一个4位标准逻辑向量的语句为 。 7、/=是操作符,功能是。 8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是 ,(D3 or D2)and(D1 and not D0)的运算结果是:。 9、赋值语句是(并行/串行)执行的,if语句是(并行/串行)执行的。 10、请列举三种可编程逻辑器件:、、。

二、简答(20分,每小题5分) 1、简述VHDL程序的基本结构。 2、简述信号与变量的区别。 3、简述可编程逻辑器件的优点。 4、试比较moore状态机与mealy状态机的异同。

三、判断题(10分) library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; 以上库和程序包语句有无错误?,有的话请在原程序相应位置改正。 entity rom is port( addr: in std_logic_vector(0 to 3); ce: in std_logic; data:out std_logic_vector(7 downto 0); ) end rom; 以上port语句有无错误?,有的话请在原程序相应位置改正。 architecture behave of rom is begin process begin if ce='0' then case addr is when "0000"=> data<="10001001"; when "0001"=> data<="10001010"; when "0010"=> data<="10001011"; when "0011"=> data<="10001100"; when "0100"=> data<="10001101";

R语言实验三

实验3 R基础(三) 一、实验目的: 1.掌握列表、数据框的相关运算; 2.掌握R对数据文件的读写操作; 3.掌握R的简单编程。 二、实验内容: 1.完成教材例题; 2.完成以下练习。 练习: 要求:①完成练习并粘贴运行截图到文档相应位置(截图方法见下),并将所有自己输入文字的字体颜色设为红色(包括后面的思考及小结),②回答思考题,③简要书写实验小结。④修改本文档名为“本人完整学号姓名1”,其中1表示第1次实验,以后更改为2,3,...。如文件名为“1305543109张立1”,表示学号为1305543109的张立同学的第1次实验,注意文件名中没有空格及任何其它字符。最后连同数据文件、源程序文件等(如果有的话),一起压缩打包发给课代表,压缩包的文件名同上。 截图方法: 法1:调整需要截图的窗口至合适的大小,并使该窗口为当前激活窗口(即该窗口在屏幕最前方),按住键盘Alt键(空格键两侧各有一个)不放,再按键盘右上角的截图键(通常印有“印屏幕”或“Pr Scrn”等字符),即完成截图。再粘贴到word文档的相应位置即可。 法2:利用QQ输入法的截屏工具。点击QQ输入法工具条最右边的“扳手”图标,选择其中的“截屏”工具。) 1.自行完成教材P84页开始的 2.6-2.9节中的例题。 2.教材在讲解列表(List)时,所举例子的参数是有名参数。这里我们练习创建一个 列表,其参数是无名参数,并回答以下问题。 (1)运行以下命令创建列表,注意每个元素的默认名称; L <- list(12,c(34,56),matrix(1:12,nrow=4),1:15,list(10,11)) (2)L[[2]][2]的输出结果是什么?请先自己写出结果,再运行验证; [1] 56 (3)用1:10替换L的第四个元素,请写出命令,并运行验证; > L[[4]]<-c(1:10) (4)将L的第五个元素中的11替换为20,请写出命令,并运行验证。 > L[[5]][2]<- 20 运行结果截图

硬件描述语言课程教学大纲(2016版v2)

硬件描述语言 (Hardware Description Language) 课程编号:109232学分: 2 开课单位:电子信息工程学院总学时:32 课程类别:专业课课程性质:必修 注:课程类别是指通识类平台课/学科平台课/专业核心课/专业课/;课程性质是指必修/限选/任选 一、课程的性质和目的 课程性质:本课程是电子信息工程及通信工程专业的必修课,主要介绍Verilog语言基本语法和设计思想,熟悉FPGA开发流程,培养学生应用Verilog及EDA工具开发设计数字系统的基本方法及技术,具有很强的工程实践性。 目的:使学生通过对Verilog语言及FPGA开发技术的学习和训练,获得现代硬件数字电路的软件化设计方法,了解并初步掌握当代数字电子技术设计领域的最新技术,为学生在数字技术领域的进一步深入探索和创新奠定基础。 二、课程教学内容及基本要求 掌握Verilog语言的程序结构、基本描述语句及描述方法,熟悉行为级描述、结构级描述和开关级描述的要求和特点,掌握采用硬件描述语言描述各层次的方法,熟悉EDA实验开发系统的使用;掌握小型数字系统的Verilog设计技术。 (一)课程教学内容及知识模块顺序 1.知识单元一绪论(2学时) (1)知识点一:集成电路设计技术的发展 (2)知识点二:硬件描述语言Verilog HDL与VHDL (3)知识点三:FPGA/CPLD简介 教学基本要求: 本章为介绍性内容,要求了解硬件描述语言的特点,掌握CPLD/FPGA的发展历史和两者的区别,理解ASIC、SOPC等的含义。 2.知识单元二: Verilog HDL基础(8学时) (1)知识点一:模块的概念 (2)知识点二:Verilog HDL基本语法 (3)知识点三:运算符及表达式

硬件描述语言实验报告五

实验五 有限状态机 一、实验目的 本次实验通过Verilog硬件语言编写摩尔型有限状态机和米勒型有限状态机,掌握采用有限状态机产生各种控制信号的原理,熟悉如何选用合适的有限状态机进行电路设计,通过实验进一步了解原理图编辑方法和仿真方法。 二、实验要求 1、利用Verilog硬件语言,参考提供的源程序,设计一个采用摩尔型有限状态机实现的流水灯控制程序; 2、利用Verilog硬件语言,参考提供的源程序,设计一个采用米勒型有限状态机实现的串行口发送程序; 3、利用Verilog硬件语言,参考提供的源程序,设计一个采用米勒型有限状态机实现的串行口接收程序; 4、利用原理图编辑方法,将串行口发送和接收模块进行连接,实现完整的串行通信电路,并对该电路进行仿真。 三、实验设计 1.摩尔型有限状态机实现的流水灯源程序: module sled(clk,led); input clk; output[7:0]led; reg[7:0]led; reg[2:0]state; parameter s0=3'b000, s1=3'b001, s2=3'b010, s3=3'b011, s4=3'b100, s5=3'b101, s6=3'b110, s7=3'b111;always@(posedge clk) case(state) s0:begin state<=s1;led<=8'b00000001;end s1:begin state<=s2;led<=8'b00000010;end s2:begin state<=s3;led<=8'b00000100;end s3:begin state<=s4;led<=8'b00001000;end s4:begin state<=s5;led<=8'b00010000;end s5:begin state<=s6;led<=8'b00100000;end s6:begin state<=s7;led<=8'b01000000;end s7:begin state<=s0;led<=8'b10000000;end endcase endmodule 仿真结果: 1

相关文档
最新文档