(完整word版)基于单片机的正弦波信号发生器的设计

(完整word版)基于单片机的正弦波信号发生器的设计

毕业设计

论文题目:基于单片机的正弦波信号发生器的设计

系部:电子信息工程系

专业名称:电子信息工程技术

班级: 08431 学号:33

姓名:顾伟国

指导教师:郑莹

完成时间:2011 年 5 月12 日

(完整word版)基于单片机的正弦波信号发生器的设计基于单片机的正弦波信号发生器的设计

摘要:信号发生器的应用越来越广,对信号发生器的频率稳定度、频谱纯度、频率范围和输出信号的频率微调分辨率提出越来越高的要求,普通的频率源已经不能满足现代电子技术的高标准要求。因而本设计采用了AT89C51单片机为控制核心,通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产生1HZ—180HZ的正弦波波形。通过键盘来控制波形频率变化,并通过液晶屏1602显示其波形以及频率和幅度值的大小。

关键字:信号发生器;AT89C51;D/A转换器DAC0832

Based on SCM sine wave signal generator design

Abstract:Signal generator used more and more widely, to signal generator frequency stability, the spectrum purity,frequency range and output signal frequency fine-tune resolution higher and higher demands are proposed,the average frequency source cannot have satisfied the high standard requirement of modern electronic technology. So this design USES A AT89C51 as control core,through the D/A converter DAC0832 converts digital signals into analog signals, filter and amplification, finally shown by oscilloscope 1HZ — 180HZ, can produce the sine wave。Through the keyboard to control the waveform frequency variation,and through the LCD display of the waveform and 1602 frequency and amplitude values of size。

Key word:Signal generator;AT89C51; D/A converter DAC0832

目录

1、概述 (3)

2、系统设计 (4)

2。1设计构思 (4)

2。2方案设计与论证 (4)

2.2。1 信号发生电路方案论证 (4)

2.2.2 单片机的选择论证 (4)

2。2。3、显示方案论证 (5)

2.2。4、键盘方案论证 (5)

3、总体系统设计 (5)

3.1、硬件实现及单元电路设计 (6)

3。1.1 单片机最小系统的设计 (6)

3。1.2、波形产生模块的设计 (6)

3.1。3、显示模块的设计 (7)

3。2、系统软件的设计流程 (8)

3.2.1、keil uvision3开发环境简介 (9)

3。2。2、proteus7。5软件简介 (10)

3.2.3、keil uvision3与proteus7。5联机调试简介 (10)

4.输出波形的检查与频率的调试 (10)

4。1 测试仪器及测试说明 (10)

4.2 测试结果 (11)

5、结束语 (11)

参考文献 (12)

致谢 (13)

附录 (14)

1、概述

波形发生器作为电子技术领域中最基本的电子仪器,广泛应用于航空航天测控、通信系统、

电子对抗、电子测量、科研等各个领域中。随着电子信息技术的发展,对其性能的要求也越来越高,如要求频率稳定性高、转换速度快,具有调幅、调频、调相等功能.传统的LC、RC振荡电路就不可以满足上述的要求了,而基于单片机的信号发生器就可以有效的解决上述问题了。单片机的最小系统结构简单而且又是通过程序控制信号的发生,这样就可以通过编程来产生正弦波、方波、三角波等波形。基于单片机的信号发生器的设计,不仅解决了波形类型的选择、频率和幅度的调节等,而且还通过lcd显示屏能够将信号发生器产生波形的频率准确的显示出来。

2、系统设计

经过研究考虑,确定方案:以AT89C51单片机为控制核心,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控制波形的选择和频率的变化,最终输出显示其各自的类型以及数值。

2。1设计构思

1)利用单片机采用软件设计方法产生正弦波

2)键盘选择波形类型

3)波形频率可调

4)显示波形及其频率

2.2方案设计与论证

2。2。1、信号发生电路方案论证

DAC0832是8位全MOS中速D/A 转换器,采用R—2RT 形电阻解码网络,转换结果为一对差动电流输出,转换时间大约为1us。使用单电源+5V―+15V 供电。参考电压为-10V-+10V。在此我们直接选择+5V 作为参考电压。DAC0832 有三种工作方式:直通方式,单缓冲方式,双缓冲方式。

2.2.2、单片机的选择论证

AT89C51单片机是一种高性能8位单片微型计算机.它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中,从而构成较为完整的计算机、而且其价格

便宜。

2。2。3、显示方案论证

方案一:采用LED数码管。LED数码管由8个发光二极管组成,每只数码管轮流显示各自的字符。由于人眼具有视觉暂留特性,当每只数码管显示的时间间隔小于1/16S时人眼感觉不到闪动,看到的是每只数码管常亮。使用数码管显示编程较易,但要显示内容过多,而且数码管不能显示字母。

方案二:采用LCD液晶显示器1602. 其功率小,效果明显,显示编程容易控制,可以显示字母。

以上两种方案综合考虑,选择方案二.

2。2.4、键盘方案论证

采用简单的拨动开关。拨动开关简单方便,操作简单,但存在抖动的现象,对芯片会产生一定的影响。

3、总体系统设计

该系统采用单片机作为数据处理及控制核心,由单片机完成人机界面、系统控制、信号的采集分析以及信号的处理和变换,采用按键输入,利用液晶显示电路输出数字显示的方案,将设计任务分解为按键电路、液晶显示电路等模块。图(1)为系统的总体框图:

图1:系统总体框图

3。1、硬件实现及单元电路设计

3.1。1、单片机最小系统的设计

AT89C51是片内有ROM/EPROM的单片机,因此,这种芯片构成的最小系统简单﹑可靠.用AT89C51单片机构成最小应用系统时,只要将单片机接上时钟电路和复位电路即可,如图(2)AT89C51单片机最小系统所示。由于集成度的限制,最小应用系统只能用作一些小型的控制单元.

图2:AT89C51单片机最小系统

3。1.2、波形产生模块的设计

由单片机为控制中心用编程方法产生波形,通过D/A转换器DAC0832再经过滤波放大后输出。其电路图如下

图3:波形产生电路

如上图所示,单片机的P0口连接DAC0832的八位数据输入端,DAC0832的输出端接放大器,经过放大后输出所要的波形。

3.1.3、显示模块的设计

通过液晶1602显示输出的波形、频率,其电路图如下:

图4:液晶显示

如上图所示,1602的八位数据端接单片机的P1口,其三个使能端RS、RW、E分别接单片机的P3.2—P3。4。通过软件控制液晶屏可以显示波形的种类以及波形的频率.

3。1.4、键盘模块的设计

本系统采用两个拨动开关来调节由单片机产生的正弦波的频率,其连接电路如下:

图5:拨动开关

图中UP开关,每闭合一次,正弦波的频率将增加1HZ。与之功能相似的DOWN开关则是使产生的正弦波的频率降低1HZ.

3。2、系统软件的设计流程

本系统采用AT89C51单片机,用编程的方法来产生正弦波,并通过编程来调节正弦波波形的输出频率.

软件设计的流程图如下:

图6:软件设计流程图

3。2.1、keil uvision3开发环境简介

KeilSoftware公司推出的uVision3是一款可用于多种8051MCU的集成开发环境(IDE),该IDE同时也是PK51及其它开发套件的一个重要组件。除增加了源代码、功能导航器、模板编辑以及改进的搜索功能外,uVision3还提供了一个配置向导功能,加速了启动代码和配置文件的生成.此外其内置的仿真器可模拟目标MCU,包括指令集、片上外围设备及外部信号等。uVision3提供逻辑分析器,可监控基于MCUI/O引脚和外设状态变化下的程序变量。uVision3提供对多种最新的8051类微处理器的支持,包括AnalogDevices的ADuC83x和ADuC84x,以及Infineon的XC866等。

3.2.2、proteus7.5软件简介

Proteus 与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU 的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。

3。2.3、keil uvision3与proteus7.5联机调试简介

KeilC 与Proteus 联机调试准备工作在一台电脑上联机两个软件为例。首先进行Keil uVision3 软件和Proteus Version 7。1 软件,分别进行安装。

a。到官方网站下载一个vdmadi。exe,安装路径选择Keil uVision3

的安装目录(E:\Program Files\Keil).安装完成。

b。Proteus 里DEBUG--〉( 选择)use remote debug monitor.进入

KEIL 的project 菜单option for target ’ 工程名'。在DEBUG 选项中右栏上部的选择Use 并在下拉菜选中Proteus VSM Simulator。在进入seting,如果同一台机IP 名为127.0.0。1,如不是同一台机则填另一台的IP 地址。端口号一定为8000 。注意:可以在一台机器上运行keil,另一台中运行proteus 进行远程仿真.

c.KEIL 的编译程序和Proteus 的文件一定要放在同一个文件夹中。

d。单击仿真运行开始按钮,KeilC 与Proteus 连接仿真调试

4.输出波形的检查与频率的调试

4.1 测试仪器及测试说明

测试仪器:示波器、数字万用表

测试说明:正弦波的输出,通过UP开关及DOWN开关来实现正弦波波形频率的改变,调节滑动变阻器RV1来实现幅度的调控。

测试过程;打开keil uvision3 和proteus 软件后,导入写好的程序以及模拟仿真电路后,通过两个软件的联调,进行正弦波的模拟仿真测试。程序经过初始化,液晶屏上只显示“wave:”和“f:“,然后便显示出波形类型以及频率的大小。正弦波的频率可调范围在1—180HZ之间。

正弦波波形仿真图如下:

4。2 测试结果

各项指标均能达到要求

1)、能很好的产生正弦波

2)、波形的频率可以调节,频率范围在1-180HZ之间

3)、显示部分基本实现

4)、UP及DOWN开关基本能实现其功能

5、结束语

通过这次毕业设计,使我深刻地认识到学好专业知识的重要性,也理解了理论联系实际的现实意义。本次的设计是对大学三年所学知识的一次系统的检验,进一步加深了我们对专业知识的理解和提高我们的动手能力。虽然本次的毕业设计基本上可以达到要求,但其中也存在着很多的问题,例如液晶屏显示频率,频率增大时,液晶屏显示的频率却变小,这主要是程序的编写有点问题,改正之后应该能够解决这样的问题。此次的毕业设计作品还有很多不完

善的地方,我相信在以后的工作和学习中能够彻底的解决这样不完善。

参考文献

【1】周国运主编。《单片机原理及应用(C语言版)(21世纪高等院校规划教材)》中国水利水电出版社,2009

【2】张毅刚、彭喜元主编。《单片机原理及接口技术》。人民邮电出版社,2008

【3】孙俊喜主编.《LCD驱动电路、驱动程序设计及典型应用》.人民邮电出版社,2009【4】殷瑞祥主编。《电路与模拟电子技术》.高等教育出版社,2003

致谢

在此论文撰写过程中,要特别感谢我的老师郑老师的指导与督促,同时感谢她的谅解与包容.没有郑老师的帮助也就没有今天的这篇论文。求学历程是艰苦的,但又是快乐的。感谢我的班主任郑老师,谢谢她在这三年中为我们全班所做的一切,她不求回报,无私奉献的精神很让我感动,再次向她表示由衷的感谢.在这三年的学期中结识的各位生活和学习上的挚友让我得到了人生最大的一笔财富。在此,也对他们表示衷心感谢.谢谢我的父母,没有他们辛勤的付出也就没有我的今天,在这一刻,将最崇高的敬意献给你们!本文参考了大量的文献资料,在此,向各学术界的前辈们致敬!

附录

1、附图

总体原理设计图

2、源程序

#include〈reg51.h>

#include〈absacc。h>

#define uchar unsigned char

#define uint unsigned int

sbit lcdrw=P3^3;

sbit lcdrs=P3^2;

sbit lcde=P3^4;

sbit cs=P3^5;

sbit wr=P3^6;

uchar a,n;

#define dac1 XBYTE[0xdfff] // X 轴0832一级琐存地址

unsigned char code type[256]={

0x80,0x83,0x86,0x89,0x8c,0x8f,0x92,0x95,0x98,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb0,0xb3,0xb6,0xb9,

0xbc,0xbf,0xc1,0xc4,0xc7,0xc9,0xcc,0xce,0xd1,0xd3,0xd5,0xd8,0xda,0xdc,0xde,0xe0,0xe2,0xe4,0xe6,0xe8,

0xea,0xec,0xed,0xef,0xf0,0xf2,0xf3,0xf4,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfc,0xfd,0xfe,0xfe,0xff,

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfe,0xfd,0xfc,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7,

0xf6,0xf5,0xf3,0xf2,0xf0,0xef,0xed,0xec,0xea,0xe8,0xe6,0xe4,0xe3,0xe1,0xde,0xdc,0xda,0xd8,0xd6,0xd3,

0xd1,0xce,0xcc,0xc9,0xc7,0xc4,0xc1,0xbf,0xbc,0xb9,0xb6,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c,

0x99,0x96,0x92,0x8f,0x8c,0x89,0x86,0x83,0x80,0x7d,0x79,0x76,0x73,0x70,0x6d,0x6a,0x67,0x64,0x61,0x5e,

0x5b,0x58,0x55,0x52,0x4f,0x4c,0x49,0x46,0x43,0x41,0x3e,0x3b,0x39,0x36,0x33,0x31,0x2e,0x2c,0x2a,0x27,

0x25,0x23,0x21,0x1f,0x1d,0x1b,0x19,0x17,0x15,0x14,0x12,0x10,0xf,0xd,0xc,0xb,0x9,0x8,0x7,0x6,0x5,0x4,

0x3,0x3,0x2,0x1,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x2,0x3,0x3,0x4,0x5,0x6,0x7,

0x8,0x9,0xa,0xc,0xd,0xe,0x10,0x12,0x13,0x15,0x17,0x18,0x1a,0x1c,0x1e,0x20,0x23,0x25,0x27,0x29,0x2c,

0x2e,0x30,0x33,0x35,0x38,0x3b,0x3d,0x40,0x43,0x46,0x48,0x4b,0x4e,0x51,0x54,0x57,0x5a,0x5d,0x60,0x63,

0x66,0x69,0x6c,0x6f,0x73,0x76,0x79,0x7c};

unsigned char i,j;

unsigned int counter,step;

sbit key_up = P2^0;

sbit key_dw = P2^1;

//定时器0初始化

void delay(uint z) //延时子程序

{ uchar a,b;

for(a=z;a〉0;a-—)

for(b=110;b〉0;b--);

}

void delay1(uint y)

{uint i;

for(i=y;i〉0;i—-);

void write_com(uchar com) //1602写指令{lcdrs=0;

P1=com;

delay(5);

lcde=1;

delay(5);

lcde=0;

}

void write_data(uchar date) //1602数据{ lcdrs=1;

P1=date;

delay(5);

lcde=1;

delay(5);

lcde=0;

void init()//初始化

{ lcdrw=0;

lcde=0;

wr=0;

cs=0;

write_com(0x38);

write_com(0x0c);

write_com(0x06);

write_com(0x01);

write_com(0x80+0x00);

write_data(0x77);//写wave:

write_data(0x61);

write_data(0x76);

write_data(0x65);

write_data(0x3a);

write_com(0x80+0x40);//写f:

write_data(0x66);

write_data(0x3a);

void write_f(uint date)//写频率{ uchar qian,bai,shi,ge;

qian=date/1000;

bai=date/100%10;

shi=date/10%10;

ge=date%10;

write_com(0x80+0x42);

write_data(0x30+qian);

write_data(0x30+bai);

write_data(0x30+shi);

write_data(0x30+ge);

write_data(0x48);

write_data(0x5a);

void Init_Timer0(void)

{TMOD = (TMOD & 0XF0) | 0X01;

TH0 = 0xff;

TL0 = 0xff;

TR0 =1;

ET0 =1;

main()

{Init_Timer0();

step=2;

EA = 1;

init();

w hile(1)

{for(n=0;n<255;n++)

{P0=type[n];}

write_f(step);

delay(5);

write_com(0x80+0x05);

write_data(0x73);//写sine:

write_data(0x69);

write_data(0x6e);

write_data(0x65);

write_data(0x20);

write_data(0x20);

if(key_up == 0)if(step〈4096)step++;

if(key_dw == 0) if(step>1) step-—;

while((!key_up)||(!key_dw));

}

// 系统OS定时中断服务

void OS_Timer0(void)interrupt 1 using 2

{TH0 = 0xff;

TL0 = 0xff;

counter = counter + step;

dac1=type[(unsigned int)counter〉>8];}

正弦信号发生器方案设计

正弦信号发生器设计方案 一、方案比较论证 所有方案可按模拟式和数字式分为两大类 模拟式: ①利用电阻、电容、运放等传统器件搭建LC或RC正弦信号发生器。通过改变电路中的 元件的参数值来调节输出频率。这种方式成本低廉,但由于采用大量分立器件,受其工作原理的限制频率稳定度较低(只有10-3量级)。另外实现扩展功能中的各种调制等也比较麻烦,电路复杂,调试困难,精度差。 ②采用专用信号发生芯片MAX038来实现正弦信号波形的输出。是美信公司的低失真单片 信号发生集成电路,内部电路完善,使用该器件能够产生精确的高频三角、锯齿、正弦及方波。使用该芯片设计简单,但扩展功能电路部分实现起来和采用分立器件同样复杂,而且频率精度和稳度均难以达到要求。 ③采用基于锁相环(PLL)技术或者非线性器件频率变换技术的频率合成器。由晶体振荡 器和锁相环组成的系统中,前者保证工作频率稳定度,后者完成输出频率的调整,但是这时输出频率只能是晶体谐振频率的整数倍。故虽然频率稳定能达到要求却很难做到频率输出范围1KHZ—10MHZ和100HZ步进的要求。 数字式: ①采用AD公司专用的DDS芯片AD9851合成FM和AM的载波,采用传统的模拟调制 方式来实现AM调制和FM调制。但该方案需要额外的模拟调制FM和AM的调制电路,且制作和调制电路都比较麻烦,还难免引入一定的干扰,而且此方案中的PSK调制也不容易实现。 ②采用AD公司的AD9856作为调制芯片,是内含DDS的正交调制芯片,可以实现多进 制的数字幅度调制,多进制的数字相位调制和多进制的数字幅度相位联合调制。故AM 调制,PSK调、ASK调制都可以通过它实现但是AD9856不便于调频且控制复杂。 ③利用微处理器和DAC实现DDS信号产生器。微处理器能够实现DDS的电路结构,即 实现相位累加器、波形的数据表、同时实现数字/模拟转换器的控制时序。利用微处理器完成加法运算需要读取的数据进行运算,再把运算结果送到目标单元。由于微处理器工作的顺序性,这时的相位累加频率将比微处理器的时钟频率低得多。同时微处理器还要完成人机交互的相关任务,故这种方案输出频率受到很大限制。 ④利用微处理器和可编程逻辑器件实现DDS信号产生器。微处理器程序执行的顺序性限 制了它的工作速度,可编程逻辑器件的并行运行能力使它适用于高速工作的场合。同时FM、AM、PSK、ASK调制均由FPGA在数字域内完成,大大简化了电路,同时具有良好的精度和可控性。微处理器完成键盘输入,液晶显示等人机交换任务。 综上所述:数字类的第四方案为最优选择。

单片机制作简易正弦波信号发生器(DAC0832)

调试时,电源的质量需要较高,不然的话,波形不易观察看清楚。 //河北工程大学信电学院自动化系 //设计调试成功 ***************将DA输出的 0V ~ -5V范围扩展成 -5V ~ +5V范围,电路如下图:*************** 如若VO2输出更平滑一些,可以在VO2处接一个小电容,滤掉高频。 (一)过程分析计算如下: ?第一级运放出来的V o1=-N*V ref/256。当V ref为+5V时,V o1=0~ -5V。 其中,V ref为参考电压,N为8位数字量输出到DAC0832 ?并结合第二级运放,是否可以推出来如下式子: V o2=-(2*V o1+V ref)=-(2*-N*V ref/256+V ref) =-(-2N*V ref/256+V ref) =2N*V ref/256-V ref 当参考电压V ref=5V时,V o2=10N/256-5。 由于要求输出的是正弦波xsinθ,幅值x不定,下面考虑幅值x分别取5和1的情况: ●当输出波形为5 sinθ时:5 sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=2N/256-1

●当输出波形为sinθ时:sinθ=V o2 =2N*V ref/256-V ref =10N/256-5 //此时V ref=+5V 得sinθ=10N/256-5 最后可以考虑输出波形的频率问题。例如要求输出特定频率的正弦波。 (二)针对输出的不同幅值波形 ?当输出波形为5 sinθ时:得sinθ=2N/256-1 这里我们要求进步为一度。具体到进步大小,和内存RAM或者ROM有关,即和你存放数据表的空间有关。放到哪个空间都可以。(这里周期采样最多256个点,步数可以为1、2、5等,自己视情况而定,这里由于是360度,256个采样点,故步的大小360/256=1.4=△θ,由此算的前三个 θ=0,1.4,2.8……,对应N为0x80,0x83,0x86……) 通过sinθ的特征和计算部分数据发现规律: 0~90度与90~180度大小是对称的;181~270度与270~359度是对称的。 故,不是所有数据都是计算的。

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

正弦波信号发生器的设计与实现

正弦波信号发生器的设计与实现 设计一个正弦波信号发生器主要包括以下几个方面: 1.信号发生电路设计:正弦波信号可以由振荡电路产生,其中常用的 振荡电路有RC振荡器、LC振荡器和晶体振荡器等。在振荡电路的设计中,需要选择合适的电路拓扑和元器件数值,以满足所需的频率范围和输出功率。 2.调谐电路设计:为了能够调节正弦波信号的频率,需要设计调谐电路。调谐电路可以通过改变电容或电感的值来实现频率调节。在设计调谐 电路时,需要考虑调谐范围、精度和稳定性等因素。 3.输出电路设计:正弦波信号的输出电路需要选择合适的放大器电路 以及输出接口。放大器电路可以将振荡电路输出的信号放大到所需的幅度。输出接口可以选择电阻分压器、耦合电容等元件,以满足不同应用场景的 需求。 4.控制电路设计:为了方便用户控制正弦波信号发生器,需要设计相 应的控制电路。控制电路可以包括旋钮、按钮、显示屏等元件,用于调节 频率、幅度和其他参数。 在实际实现正弦波信号发生器时,可以选择使用模拟电路和数字电路 相结合的方式。模拟电路可以产生高质量的正弦波信号,而数字电路可以 提供更强大的控制功能和稳定性。 在整个设计和实现过程中,还需要考虑其他一些因素,例如电源供应、温度稳定性、噪声抑制等。对于高精度和高性能的正弦波信号发生器,还 需要进行精密的校准和性能测试。

综上所述,正弦波信号发生器的设计与实现需要涉及信号发生电路、调谐电路、输出电路和控制电路等方面。在设计过程中,需要考虑信号质量、频率范围、幅度范围、稳定性和控制功能等因素。通过合理的电路设计和元器件选择,可以实现高质量和高性能的正弦波信号发生器。

(完整word版)基于单片机的正弦波信号发生器的设计

(完整word版)基于单片机的正弦波信号发生器的设计 毕业设计 论文题目:基于单片机的正弦波信号发生器的设计 系部:电子信息工程系 专业名称:电子信息工程技术 班级: 08431 学号:33 姓名:顾伟国 指导教师:郑莹 完成时间:2011 年 5 月12 日

(完整word版)基于单片机的正弦波信号发生器的设计基于单片机的正弦波信号发生器的设计 摘要:信号发生器的应用越来越广,对信号发生器的频率稳定度、频谱纯度、频率范围和输出信号的频率微调分辨率提出越来越高的要求,普通的频率源已经不能满足现代电子技术的高标准要求。因而本设计采用了AT89C51单片机为控制核心,通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产生1HZ—180HZ的正弦波波形。通过键盘来控制波形频率变化,并通过液晶屏1602显示其波形以及频率和幅度值的大小。 关键字:信号发生器;AT89C51;D/A转换器DAC0832 Based on SCM sine wave signal generator design Abstract:Signal generator used more and more widely, to signal generator frequency stability, the spectrum purity,frequency range and output signal frequency fine-tune resolution higher and higher demands are proposed,the average frequency source cannot have satisfied the high standard requirement of modern electronic technology. So this design USES A AT89C51 as control core,through the D/A converter DAC0832 converts digital signals into analog signals, filter and amplification, finally shown by oscilloscope 1HZ — 180HZ, can produce the sine wave。Through the keyboard to control the waveform frequency variation,and through the LCD display of the waveform and 1602 frequency and amplitude values of size。 Key word:Signal generator;AT89C51; D/A converter DAC0832 目录 1、概述 (3) 2、系统设计 (4)

设计制作一个方波-三角波-正弦波函数信号发生器 Microsoft Word 文档

课程设计说明书 课程设计名称:模拟电子课程设计 课程设计题目:设计制作一个方波-三角波-正弦波函数转换器 学院名称:信息工程学院 专业:通信工程班级: 090422 学号: 09042204 姓名:龙敏丽 评分:教师:欧巧凤、张华南 20 11 年 3 月 23 日

模拟电路课程设计任务书 20 10 -20 11 学年第 2 学期第 1 周- 2 周

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

单片机课程设计波形信号发生器

摘要 用单片机与DAC0832 构成的波形发生器,可产生方波、三角波、锯齿波、正弦波等多种波形,它是使用频度很高的电子仪器。现在的波形发生器都采用单片机来构成。单片机波形发生器是以单片机核心,配相应的外围电路和功能软件,能实现各种波形发生的应用系统,它由硬件部分和软件部分组成,硬件是系统的基础,软件则是在硬件的基础上,对其合理的调配和使用从而完成波形发生的任务。波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为信号发生器。信号发生器在电路实验和设备检测中具有十分广泛的用途。现在我们通过对函数信号发生器的原理以及构成设计一个能变换出三角波、正弦波、方波的简易发生器。我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。最后分析出现误差的原因以及影响因素。 关键字:信号发生器、方案确定、、调试、误差分析。

目录 1功能要求与方案论证 ........................ - 3 - 1.1 功能要求.............................. - 3 - 1.2 方案论证.............................. - 3 - 2 硬件设计.................................. - 4 - 2.1 主控制器介绍.......................... - 4 - 2.2 显示电路.............................. - 5 - 2.3 D/A电路.............................. - 6 - 2.4 电源电路:............................ - 8 - 2.5 电路原理图............................ - 9 - 3 系统程序设计.............................. - 9 - 3.1 主程序............................... - 10 - 3.2 波形产生模块......................... - 11 - 3.2.1 中断服务流程如图(3)所示。....... - 11 - 3.2.2 波形产生流程如图(4)所示......... - 12 - 3.3 原程序清单........................... - 13 - 4 设计心得体会............................. - 22 - 5 参考文献................................. - 23 -

89C51单片机设计多功能低频函数信号发生器,能产生方波、正弦波、三角波等信号波形

波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。本次课程设计使用的AT89S51 单片机构成的发生器可产生锯齿波、三角波、正弦波等多种波形,波形的周期可以用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑等优点。在本设计的基础上,加上按钮控制和LED显示器,则可通过按钮设定所需要的波形频率,并在LED上显示频率、幅值电压,波形可用示波器显示。 二、系统设计 波形发生器原理方框图如下所示。波形的产生是通过AT89S51 执行某一波形发生程序,向D/A转换器的输入端按一定的规律发生数据,从而在D/A转换电路的输出端得到相应的电压波形。在AT89S51的P2口接5个按扭,通过软件编程来选择各种波形、幅值电压和频率,另有3个P2口管脚接TEC6122芯片,以驱动数码管显示电压幅值和频率,每种波形对应一个按钮。此方案的有点是电路原理比较简单,实现起来比较容易。缺点是,采样频率由单片机内部产生故使整个系统的频率降低。 1、波形发生器技术指标 1)波形:方波、正弦波、锯齿波; 2)幅值电压:1V、2V、3V、4V、5V; 3)频率:10HZ、20HZ、50HZ、100HZ、200HZ、500HZ、1KHZ; 2、操作设计 1)上电后,系统初始化,数码显示6个…-?,等待输入设置命令。 2)按钮分别控制“幅值”、“频率”、“方波”、“正弦波”、“锯齿波”。 3)“幅值“键初始值是1V,随后再次按下依次增长1V,到达5V后在按就回到1V。 4)“频率“键初始值是10HZ,随后在按下依次为20HZ、50HZ、100HZ、200HZ、500HZ、1000HZ循环。 三、硬件设计 本系统由单片机、显示接口电路,波形转换(D/A)电路和电源等四部分构成。电路图2附在后 1、单片机电路

正弦信号发生器设计

正弦信号发生器设计 摘要 :本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。 一、方案论证与比较 根据题目要求,基本部分需要实现正弦波信号发生,而发挥部分主要需要实现信号调制。 1. 正弦信号输出方案 方案一: 采用专用信号发生器。MAX038是美信公司的低失真单片信号发生器集成电路,内部电路完善。使用该芯片,设计简单,可以生成同一频率信号的各种波形信号,但频率精确度和稳定度都难以达到要求。 方案二: 采用直接数字合成(Direct Digital Synthesizer)方案。DDS 的原理框图如图1-1所示。。 图1-1 DDS原理框图 DDS技术频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位可保持连续变化。 方案论证 从题目要求来看,上述两种方案都可以满足题目合成频率范围的要求,但信号发生器产生的频率稳定度、精确度都不如DDS合成的频率;另一方面,DDS 较信号发生器更容易精确控制,所以我们选择DDS方案进行频率合成。 2. 信号调制方案 方案一:

采用AD公司的DDS专用芯片AD9851合成FM和AM的载波,采用传统的模拟调制方式来实现AM和FM调制。但这种方案的缺点是需要额外的模拟调制FM和AM调制的电路,且模拟调制电路难免引入一定的干扰,而且此方案中PSK的调制也不好实现。 方案二: 采用AD9851合成FM和AM的载波,将FM调制信号离散化形成数字信号,使FM调制的频率偏移通过改变AD9851的频率字来实现。这种设计方案减少了FM调制过程中引入的干扰,也大大简化了FM调制电路的设计。但是AM调制还是需要模拟乘法器,而PSK的调制也需要额外的电路。 方案三: 采用AD公司的AD9856作为调制芯片。AD9856是内含DDS的正交调制芯片,可以实现多进制的数字幅度调制,多进制的数字相位调制和和多进制的数字幅度相位联合调制。AM,PSK和ASK调制都可以通过它实现。但是AD9856不便于调频,且控制复杂。 方案四: 采用FPGA+DAC来实现DDS。这样通过FPGA在数字域实现频率合成然后通过DAC形成信号波形。由于信号都是由FPGA在数字域进行处理,可以很方便的将FM和AM等调制在数字域实现。所有调制电路的功能都由FPGA片内的数字逻辑电路来实现,整个系统的电路设计大为简化,同时由于数字调制避免了模拟调制带来的误差和干扰,大大提高了调制的性能,而且硬件电路设计的软件化,使得电路设计的升级改进工作大为简化。但是此方案由于受到FPGA接口速度和DAC转换速度的约束,载频只能做到15M左右。 表1-1 信号调制方案比较表 方案一方案二方案三方案四 硬件电路描述AD9851 + AM 模拟调制电路+ FM 模拟调制电 路+PSK调制电 路AD9851 + AM 模拟调制电路+ PSK调制电路 AD9856 + FM 模拟调制电路 FPGA + DAC AM 使用模拟的硬件 乘法器使用模拟的硬件 乘法器 使用AD9856 在数字域内由 FPGA内部的逻 辑电路实现 FM 使用模拟锁相环通过修改 AD9851的频率 字使用模拟锁相环在数字域内由 FPGA内部的逻 辑电路实现 PSK 使用模拟锁相环 或是专用调制芯 片使用模拟锁相环 或是专用调制芯 片 使用AD9856 在数字域内由 FPGA内部的逻 辑电路实现 ASK 模拟开关或是专 用调制芯片模拟开关或是专 用调制芯片 使用AD9856 在数字域内由 FPGA内部的逻 辑电路实现 其他调制方式扩 展的实现难度 很难较难较易很容易

基于单片机的信号发生器设计

基于单片机的信号发生器设计 摘要 在介绍MAX038 芯片特性的基础上,论述了采用MAX038 芯片设计数字函数信号发生器的原理以及整机的结构设计。对其振荡频率控制、信号输出幅度控制以及频率和幅度数显的实现作了较详细的论述。该函数信号发生器可输出三角波,方波和正弦波。 本文重点论述了整机通过D/A转换电路控制MAX038的实现过程,D/A转换电路采用了8位4通道的MAX505来实现。在幅度的控制上采用数字电位器AD5171,该芯片是I2C总线方式控制,文中给出了I2C总线的读写控制程序。系统支持按键操作和上位机操作两种模式。 关键词:函数信号;D/A ;单片机控制 Design of Signal Generator System Based on SCM Zisu zhou (College of Zhangjiajie, Jishou University, Jishou,Hunan 416000) Abstract Based on the introduction of MAX038 , we discussed the principle and the whole frame of the digital function signal generator. We described the control of the oscillatory frequent , amplitude and the digital display in detail. Thegenerator can output three kinds of waves : sine wave , square wave , triangle wave. This text has exposition the mirco-computer controls the D/A electric circuit of conversion realize the process. In D/A changing electric circuit adopt the 8 bit 4 channel come to realize. Porentiometer AD5171 is adopted in the control of length. This chip is that I2C bus control way. This system supports key-control or computer-control modes. Key words : function signal ;D/A ;single - chip microprocessor control ; 目录

正弦信号发生器的设计

正弦信号发生器的设计 正弦信号是电子工程中非常常见的一种波形信号。在很多应用场合中,为了满足一些特殊的输出要求,设计一个合适的正弦信号发生器是非常必要的。本文将介绍如何设计一个简单的正弦信号发生器。 一、介绍正弦信号 正弦信号是一种基本的周期信号,在数学和工程领域都有广泛的应用。正弦信号的数学表达式为: y(t) = A*sin(ωt+φ),其中A为振幅,ω为角频率,φ为相位差。 正弦信号具有周期性和连续性,可以描述很多物理和电子现象,如机械振动、电磁波等。在电子工程领域中,正弦信号可以用于通讯系统、音频系统、数码系统等各个方面。 如果需要设计一个正弦波信号发生器,一些基本要素必须要考虑。这些要素包括输出幅度、输出频率、工作电源和电路稳定性。以下是正弦信号发生器的设计方案: 1.输出幅度 要设计一个正弦信号发生器,首先要确定所需要的输出幅度范围。对于数字信号处理器(DSP)的输出,其输出幅度通常在±1.0之间。如果需要更大的输出幅度,可以通过放大引脚信号或者使用外部放大器实现。 2.输出频率 输出频率可以由外部时钟或者基准晶振决定。如果想要实现可调节的输出频率,可以在电路中使用像50-100MHz这样的精准低噪声晶振。可以根据应用需求选择不同的晶振和滤波器电路。 3.工作电源 正弦波信号发生器的工作电源应该保证稳定性和可靠性。在低频和中频应用中,标准稳压器可以提供足够的电源稳定性;在高频应用中,需要使用低噪声电源或者瞬态响应较好的电源来保证信号质量。 4.电路稳定性 正弦波信号发生器的电路必须要保证稳定性。这可以通过使用负反馈电路、保持简单电路结构和使用稳定的输出功率等方法来实现。此外,振荡器的端部是一个有驱动能力的阻抗,因此需要使用与振荡器相匹配的驱动设计。

基于单片机的信号发生器的设计

唐山师范学院 题目基于单片机的信号发生器的设计 院系名称:电子信息科学与技术 学号: 111180241015 摘要 波形发生器即简易函数信号发生器,是一个能够产生多种波形,如三角波、锯齿波、方波、正弦波等波形电路。函数信号发生器在电路实验和设备仪器中具有十分广泛的用途。通过对函数发生器的原理以及构成分析,可设计一个能变换出

三角波、锯齿波、方波、正弦波的函数波形发生器。在工业生产和科研中利用函数信号发生器发出的信号,可以对元器件的性能及参数进行测量,还可以对电工和电子产品进行指数验证、参数调整及性能鉴定。常用的信号发生器绝大部分是由模拟电路构成的,当这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不仅参数准确度难以保证,而且体积和功耗都很大,而由数字电路构成的低频信号发生器,虽然其性能好但体积较大,价格较贵,因此,高精度,宽调幅将成为数字量信号发生器的趋势。 本文介绍的是利用89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了 DAC0832数模转换器的结构原理和使用方法,89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。 本设计核心任务是:以AT89C52为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。 关键词: AT89C52单片机函数波形发生器 DAC0832 方波三角波正弦波 目次 1 引言 (4) 2 系统设计 (6) 2.1方案 (6)

(完整word版)VHDL语言正弦波信号发生器设计

AS正弦波信号发生器设计 一、实验内容 1。设计一正弦信号发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生正弦信号。(ROM:6位地址8位数据;要求使用两种方法:VHDL编程和LPM)2。正弦信号六位地址数据 128,140,153,165,177,188,199,209,219,227, 235,241,246,250,253,255,255,254,252,248,244, 238,231,223,214,204,194,183,171,159,147,134, 121,109,96,84,72,61,51,41,32,24,17,11,7, 3,1,0,0,2, 5,9,1420,28,36,46,56,67,78,90,102,115,127。 二、实验原理 正弦波信号发生器是由地址发生器和正弦波数据存储器ROM两块构成,输入为时钟脉冲,输出为8位二进制。 1.地址发生器的原理 地址发生器实质上就是计数器,ROM的地址是6位数据,相当于64位循环计数器. 2.只读存储器ROM的设计 (1)、VHDL编程的实现 ①基本原理:为每一个存储单元编写一个地址,只有地址指定的存储单元才能与公共的I/O相连,然后进行存储数据的读写操作。 ②逻辑功能:地址信号的选择下,从指定存储单元中读取相应数据。

(2)、基于LPM宏功能模块的存储器的设计 ①LPM:Library of Parameterized Modules,可参数化的宏功能模块库。 ②Quartus II提供了丰富的LPM库,这些LPM函数均基于Altera器件的结构做了优化处理。 ③在实际的工程中,设计者可以根据实际电路的设计需要,选择LPM库中适当的模块,并为其设置参数,以满足设计的要求,从而在设计中十分方便的调用优秀的电子工程技术人员的硬件设计成果。 三、设计方案 1.基于VHDL编程的设计 在地址信号的选择下,从指定存储单元中读取相应数据 系统框图如下: 2.基于LPM宏功能模块的设计

基于单片机正弦波信号发生器

基于单片机正弦波信号发生器

————————————————————————————————作者:————————————————————————————————日期:

目录 目录 (1) 一、设计要求 (2) 二、设计方案与论证 (2) 三、设计原理及电路图(设计原理及流程图) (3) 四、元器件清单 (6) 五、元器件识别与检测 (6) 六、硬件制作与调试(软件编程与调试 (10) 七、设计心得 (14) 八、参考文献 (15)

一、设计要求 本文介绍一种由直接数字频率合成芯片AD9835设计的正弦信号发生器,该芯片支持高达50MHZ的时钟频率,可以产生最高达25MHZ 的正弦波形。通过单片机控制完全可以满足设计所要求的正弦波信号的生成。本次设计的基于单片机的信号发生器设计就是一个单片机控制系统,对信号发生芯片进行的控制。通过单片机对信号发生芯片经行精密控制,实现对波形的频率和幅度的控制。这些控制可以通过键盘设定,这就要求对选择的信号发生芯片,选用的单片机有初步的了解,并对整个系统的结构有个合理的分配。 二、设计方案与论证 方案一:直接利用单单片机编程产生正弦波 优点:简化了产生正弦波的硬件和软件,电路结构简单。 缺点:编程复杂,波形失真较大,不能达到要求输出的高频信号. 方案二:利用单片机控制直接数字频率合成芯片DDS产生的正弦波,通过单片机,键盘LED数码显示管显示实现波形的数字控制。 优点:控制简单,波形效果好,频率带宽。 缺点:硬件电路复杂。 为了满足设计要求,取得较好的效果,显然方案二更为合理。 三、设计原理及电路图 3.1 DDS的基本原理 DDS的基本原理是:在高速存储器中放入正弦函数——相位数据表格,经过查表操作

基于51单片机信号发生器的设计

专业方向课程设计报告设计课题:信号发生器的设计 设计时间:2012年06月6日

信号发生器的设计 摘要:本文以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。 关键词:低频信号发生器; 单片机;D/A转换 1设计要求 设计一个能产生方波、三角波、梯形波、锯齿波并且频率、幅度可调的信号发生器。 发挥部分:作品还能产生正弦波。 2系统概述 2.1.1波形产生方案 采用AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器,生成的波形比较纯净。它的特点是可产生任意波形,频率容易调节,频率能达到设计的500HZ以上。2.1.2改变幅度方案: 方案一:可以将送给DA的数字量乘以一个系数,这样就可以改变DA输出电流的幅度,从而改变输出电压;但是这样做有很严重的问题,单片机在做乘法运算时需要很长的时间,这样的话输出波形的频率就会很低;并且该方案的输出电压做不到连续可调,当DA的输入数字量比较小时,输出的波形失真就会比较严重。 方案二:将输出电压通过一个运算放大器的放大。这样还有个优点是幅度连续可调。经比较,方案二既可满足课程设计的基本要求,并且电路也挺简单。 2.2工作原理 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O 接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及、数模转换及波形输出、放大电路等部分,即可构成所需的波形发生器,其信号发生器构成系统框图如图2.2所示。 89C51是整个波形发生器的核心部分,通过程序的编写和执行,产生各种各样的信号,并从键盘接收数据,进行各种功能的转换和信号幅度的调节。当数字信号电路到达转换电路,将其转换成模拟信号也就是所需要的输出波形。波形ROM表是将信号一个周期等间距地分离成64个点,储存在单片机得RON内。具体ROM表是通过MATLAB生成的,例如正弦表,MATLAB 生成的程序如下: x=0:2*pi/64:2*pi; y=round(sin(x)*127)+128

基于单片机的多功能信号发生器的设计

基于单片机的多功能信号发生器的设计 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 本设计主要由主控制器模块、信号发生模块和液晶显示模块三大部分组成。采用STC89C52单片机为主控制器,由它来控制DDS芯片AD9835再通过TLC5615完成数字量输入到模拟量输出的转换,然后经运放调节电压幅度,产生1MHz~15MHz的正弦波和方波,最后由液晶屏显示。本论文其重点讨论了AD9835基本工作原理、DAC数模转换及其与89C52单片机控制系统的硬件结构和软件设计框图。 关键词:单片机;DDS芯片;液晶显示

绪论 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。其次是基于可编程逻辑器件FPGA的实现方法。这也是一种较为成熟的实现方法,FPGA 是把相应的逻辑“暂时”固化为硬件电路了,而且FPGA在编程使用时也十分灵活,因此,利用FPGA作为核心器件再辅以低通滤波等辅助电路就可以得到质量较高的波形信号。 单片机以其体积小,功能齐全,价格低廉,可靠性高等方面所具有的独特优点,长期以来被广泛的应用在各个领域。作为一种优秀的控制装置,当只要求波形发生装置工作在频率较低的频段时,用单片机取代专用DDS芯片和FPGA,通样可以得到频率精确可调的高质量的波形信号。本系统将波形数据存放在单片机的存储器中,利用软件编程的方法模拟DDS原理,实现直接数字频率合成的功能。

基于单片机的DDS的信号发生器设计报告

目录 第1节引言 (2) 1.1 信号发生器概述 (2) 1.2 本设计任务和主要内容 (3) 第2节系统主要硬件电路设计 (4) 2.1 总体设计方案与比较 (4) 2.2 单片机控制系统原理 (5) 2.3 单片机主机系统电路 (6) 2.3.1电源模块 (6) 2.3.2按键模块 (9) 2.3.3 D/A转换模块 (12) 2.4 LCD液晶显示电路 (14) 2.4.1 ST7920 LCD组成原理 (15) 2.4.2 ST7920的应用 (16) 2.5 DDS信号发生电路 (17) 2.5.1 DDS的性能特点 (18) 2.5.2 DDS的应用 (19) 2.6 模拟乘法器MC1595 (21) 第3节系统的软件设计 (24) 3.1 软件设计概况 (24) 3.2 主程序流程图 (24) 3.2 事务处理任务流程图 (25) 3.3 数据处理原理 (25) 3.4 系统主程序设计 (26) 3.4.1 LCD发送及接收部分 (26) 3.4.2 AD9850发送部分 (28) 3.4.3 D/A5615发送部分 (29)

第4节结束语 (30) 参考文献 (31) 基于DDS的信号发生器 第1节引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。 本设计分五个模块:单片机控制及显示模块、数模(D/A)转换模块、波形产生模块、输出显示模块、电源模块。使用AT89C51作为主控制台,结合DDS芯片ADC9850产生1HZ~10MHZ频率可调的三种信号波(正弦波、方波、三角波),步进为1HZ;配合使用DA5615采集电压通过模拟乘法器和AD811放大电压,在50 负载条件下输出正弦波信号的电压峰-峰值Vopp在0~5V范围内可调,调节步进间隔可达到0.1V,通过ZLG7289控制按键进行设置。系统采用液晶屏操作方式,显示清晰,简洁明了,且有一定的系统稳定性。 1.1 信号发生器概述 信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品。 按其信号波形分为四大类:①正弦信号发生器。主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按其不同性能和用途还可细分为低频(20赫至10兆赫)信号发生器、高频(100千赫至300兆赫)信号发生器、微波信号发生器、扫频和程控信号发生器、频率合成式信号发生器等。②函数(波形)信号发生器。能产生某些特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可从几个微赫到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。③脉冲信号发生器。能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。④随机信号发生器。通常又分为噪声信号发生器和伪随机信号发生器两类。

基于单片机的函数信号发生器课程设计(毕业设计)完整版.

综合实验课程报告 课题名称基于单片机的函数信号发生器 摘要 本文介绍一种用AT89C51单片机构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。文章给出了源代码,通过仿真测试,其性能指标达到了设计要求。 关键词:单片机;DAC ;信号发生器 目录 摘要 ............................................................... 目 录 ............................................................... 第一章绪论 .......................................................... 1.1单片机概述 ...................................................... 1.2信号发生器的分类 ................................................ 1.3研究内容 ........................................................ 第二章方案的设计与选择 .............................................. 2.1方案的比较 ...................................................... 2.2设计原理 ........................................................ 2.3设计思想 ........................................................ 2.4设计功能 ........................................................

相关文档
最新文档