东北林业大学2019自命题科目考研大纲828电路和模拟电子技术

东北林业大学2019自命题科目考研大纲828电路和模拟电子技术
东北林业大学2019自命题科目考研大纲828电路和模拟电子技术

东北林业大学2019自命题科目考研大纲828电路和模拟电

子技术

考研大纲频道为大家提供东北林业大学2019自命题科目考研大纲:828电路和模拟电子技术,一起来看看吧!更多考研资讯请关注的更新!

1.了解电路和电路模型的概念;掌握电压、电流的参考方向,电路元件的特性及其电压、电流的关系;

2.了解电功率和电磁能量,熟练掌握功率的分析与计算方法,掌握理想电压源、理想电流源、受控源的概念和特性;

3.深刻理解和掌握基尔霍夫定律。

1.掌握实际电压源模型与实际电流源模型的等效变换;

2. 掌握无源三端电路的等效变换;会求无独立源单口电路的输入电阻;

3. 掌握含受控源简单电路的分析方法;能够熟练运用回路电流法和节点电压法分析电路。

1. 深刻理解叠加定理和齐性定理;了解替代定理;

2. 熟练掌握戴维宁定理和诺顿定理并能够熟练运用定理内容进行电路的分析与求解。

1. 深刻理解并熟练掌握正弦时间函数的相量表示;

2. 掌握电路元件的构成关系的相量形式,阻抗、导纳及其等效变换;

3. 掌握基尔霍夫定律的相量形式;掌握用相量法进行正弦交流电路的分析和计算;

4. 理解和掌握正弦交流电路中的瞬时功率、平均功率、无功功率、视在功率、复功率和功率因数的概念;掌握功率因数提高的原理及方法;掌握最大功率传输。

1. 了解磁耦合现象,掌握同名端的概念;掌握耦合电感的伏安关系;

2. 掌握耦合电感的解耦方法,能够分析含有耦合电感元件的电路;

3. 了解空心变压器的概念及其等效电路;掌握理想变压器的理想化条件及一次侧与二次侧间的电压、电流关系,能够分析含有理想变压器的电路。

1. 了解网络函数的概念,了解电路的谐振现象掌握谐振条件;

2. 深刻理解串联谐振电路从而掌握构建网络函数,分析频率对于电路的影响并能够应用到RLC并联电路的谐振分析中。

1.了解三相电路的概念;掌握对称三相电路的分析方法;

2.了解不对称三相电路的概念;掌握三相电路的功率的计算及

二瓦计法。

1.了解非正弦周期函数展开成傅里叶级数和频谱的概念;

2.掌握非正弦周期信号有效值的概念;

3.掌握非正弦周期电流电路的分析计算方法;

4.掌握非正弦周期电流电路平均功率的计算。

1.深刻理解掌握换路定理;掌握电路变量初始值的求解方法;能够构建RC、RL一阶电路微分方程;

2.深刻理解和掌握时间常数、零输入响应与零状态响应、自由分量与强制分量、稳态响应与暂态响应的概念;熟练运用三要素法分析一阶动态电路;

3.掌握求解一阶电路阶跃响应的方法;掌握求解一阶电路冲激

响应的方法,了解二阶电路的暂态过程。

1.理解拉普拉斯变换的定义式、收敛域及基本性质;会根据拉普拉斯变换的定义式及基本性质求常用信号的拉普拉斯变换;能够应用部分分式法求像函数的拉普拉斯反变换;

2.掌握复频域中基尔霍夫定律形式及电路元件的伏安关系式;

能够根据时域电路模型建立其对应的运算电路,并能够运用运算法分析线性动态电路。

1.理解二端口网络的概念;掌握二端口网络的方程与参数(Z参数、Y参数、T参数、H参数);

2.能够根据参数矩阵建立二端口网络的等效电路(T型和π型),了解二端口网络的连接方式,掌握级联方式。

1.从使用的角度掌握半导体二极管、双极型三极管和场效应管的外部特性和主要参数及二极管的等效。

2.半导体中载流子的运动以及由载流子的运动而阐述的半导体二极管、双极型三极管和场效应管的工作原理及特性。

1.放大的概念、放大电路的主要指标参数、晶体三极管的等效。三种基本放大电路组成及动、静态分析计算等

2.有源元件对能量的控制作用,电路能否放大的判断。多级放大电路的耦合方式及其特点,多级放大电路的静态、动态分析。

1. 通用型集成运放的四个组成部分及其作用、差分放大电路工作原理和静态工作点、差模放大倍数、共模放大倍数、共模抑制比、输入电阻、输出电阻的分析和估算,互补输出级的工作原理。

2. 基本电流源电路的组成和工作原理,集成运放的主要性能指标及其物理意义,根据需求合理选用集成运放。

1.反馈的概念、反馈性质的判断方法。

2.深度负反馈条件下放大倍数的估算方法、引入负反馈的方法和负反馈放大电路稳定性的判断方法。

1.比例、加减、积分运算电路的工作原理和运算关系。

2.利用“虚短”和“虚断”的概念分析各种运算电路输出电压和输入电压运算关系的方法,根据需要选择运算电路。

3.滤波的有关概念,有源滤波电路的识别,各种滤波电路的用途及幅频特性的定性分析。

1.正弦波振荡电路的组成及电路产生正弦波振荡条件,桥式正弦波振荡电路的工作原理、振荡频率和启振条件,LC、石英晶体正弦波振荡电路的组成和影响振荡频率的因素。

2.单限、滞回、双限比较器的特点及用途,电压比较器工作原理分析及传输特性的绘制。

1.直流稳压电源的组成及各部分的作用。

2.单向桥式整流电路的分析和估算,电容滤波电路的分析和估算,稳压管稳压电路的分析和限流电阻的估算。

考试总分:150分考试时间:3小时考试方式:笔试

考试题型:计算题简答题

参考书目:邱关源.电路(第5版). 高等教育出版社.

童诗白,华成英.模拟电子技术(第四版). 高等教育出版社.

内容仅供参考

2015上海大学912模拟电子技术(专)考试大纲

考试科目:912模拟电子技术(专) 重点:二极管伏安特性;二极管理想模型;二极管典型应用电路;稳压二极管应用电路 2、双极性晶体三极管和场效应管 双极性晶体三极管和场效应管的结构特点、特性曲线、主要参数及工作原理;晶体三极管的三个工作区—放大区、饱和区、截止区以及安全工作区;场效应管的三个工作区及安全工作区。 重点:双极性晶体三极管和场效应管的特性曲线、工作区判断。 3、放大电路基础 放大电路的基本分析方法-静态估算和图解法分析(求静态工作点)以及交流等效电路分析法求解放大器的性能指标;利用图解法确定放大器的最大不失真输出信号范围的方法;三种基本放大电路性能及比较;多级放大电路分析。 重点:共发射极(共栅极)电路工作原理;电路交、直流分析;电压放大倍数、输入输出电阻;共集、共基电路分析;多级放大电路分析求解。 4、集成运算放大电路 集成运算放大器特点;集成运放内部电路组成及作用;电流源电路、差分放大器、输出级电路。 重点:集成运算放大器电路组成及主要性能指标;掌握差动放大电路的特点及性能分析计算;掌握常用电流源电路的分析。 5、放大器频率响应 失真概念及不失真条件;晶体管高频等效电路及频率特性;单级放大器高频响应;级联放大器的频率响应;放大器的低频响应。 重点:掌握系统渐近幅频、相频波特图;掌握用晶体管高频等效电路分析单级共射(共栅)电路的中频增益A UI及上限角频率ωΗ;了解基本放大电路频率特性;了解多级放大器频率特性的一般分析方法;掌握常用组合电路分析计算。 6、负反馈放大器 反馈基本概念;负反馈放大电路四种基本类型及其判断方法;各类负反馈对放大电路性能的影响;深度负反馈放大电路的计算;负反馈放大器的频率响应。 重点:负反馈电路的分类判断;负反馈对放大电路性能影响;深度负反馈放大电路的计算;负反馈放大器的稳定性与频率特性。 7、集成运算放大器及其应用 集成运算放大器基本特性;集成运放的基本运算电路;集成运算放大器构成有源滤波器;集成运算放大器构成精密检测电路和波形变换与波形产生电路。 重点:掌握集成运算放大器理想化的条件、掌握理想运算放大器工作在线性区和非线性区时的分析特点;掌握反相与同相负反馈运算放大器基本电路的分析计算;掌握集成运算放大器用作:比例、加、减运算功能,熟悉用作:微分、积分、对数、反对数运算功能;熟悉集成运算

南京理工大学-研究生入学考试大纲-823电子技术基础

南京理工大学研究生入学考试大纲 科目名:《电子技术基础》 一. 考试内容 模拟电路部分 1半导体器件 (1)半导体的基本概念:本征半导体; PN结 (2)半导体二极管:①半导体二极管的伏安特性;半导体二极管的主要参数;半导体二极管电路的分析。 (3)稳压二极管:稳压二极管的伏安特性;稳压二极管的主要参数;稳压二极管电路的分析。 (4)半导体三极管:三极管的电流放大特性;三极管的特性曲线和主要参数 (5)场效应管: ①结型场效应管的工作原理;伏安特性;主要参数;输出特性曲线;转移特性曲线; ②绝缘栅型场效应管的工作原理;伏安特性;主要参数;输出特性曲线;转移特性曲线;输出特性曲线的三个区; 2基本放大电路 (1)三极管放大电路:固定偏置放大电路的组成和分析;分压偏置放大电路的组成和分析;有交流射极电阻的共射放大电路的组成和分析;共集放大电路的组成和分析; (2)场效应管放大电路:场效应管放大电路;场效应管的微变等效模型;场效应管的两种静态偏置电路:自给偏压电路与分压式偏置电路;基本共源电路的组成、静态分析、动态分析方法;基本共漏电路及其静态、动态分析。 3 多级放大电路 (1)多级放大电路的三种耦合方式: (2)阻容耦合放大电路及其分析方法; (3)直接耦合放大电路及其分析方法; (4)变压器耦合放大电路; 4差分放大电路 (1)差放电路的工作原理:差放电路的组成;抑制零漂的原理;信号的三种输入方式:差模、共模、任意输入方式;共模电压放大倍数;差模电压放大倍数;共模抑制比; (2)差放电路的四种输入输出方式;双端输入双端输出方式;双端输入单端输出方式;(3)长尾差分放大电路:电阻长尾差分放大电路的静态分析和动态分析;带恒流源长尾差放电路的组成和静态分析、动态分析; 5功率放大电路 (1)功率放大电路的特点; (2)功率放大电路的三种工作状态;甲类、乙类、甲乙类功率放大电路的特点。 (3)甲类功率放大电路的组成及分析方法( 甲类功率放大电路的工作原理,静态分析,动态分析。) (4)乙类功率放大电路的组成及分析方法(乙类功率放大电路的工作原理,静态分析,动态分析。) (5)甲乙类功率放大电路的组成及分析方法(甲乙类功率放大电路的工作原理,静态分析,

模拟电子技术基础课程教学大纲

《模拟电子技术基础》课程教学大纲 课程编号: 课程名称:《模拟电子电路基础》 参考学时: 80 实验或上机学时: 20 先修课及后续课:本课程开设在高等数学、大学物理、电路原理课程之后,是电路与系统类课程的基础课程。 一、说明部分 1.课程性质 《模拟电子技术基础》是电类专业本科生在电子技术方面入门性质的技术基础课,具有自身的体系和很强的实践性。本课程通过对常用电子器件、模拟电路及其系统的分析和设计的学习,使学生获得模拟电子技术方面的基本知识、基本理论和基本技能,为深入学习电子技术及其在专业中的应用打下基础。 2.教学目标及意义 通过本课程的学习,使学生具备应用电子技术的能力,为学习后续课程和电子技术在专业中的应用打好基础。 3.教学内容及教学要求 主要内容有:电子系统与信号的基本概念和组成、半导体二极管、三极管和场效应管及放大电路、功率放大电路、集成运算放大电路、反馈放大电路、信号的运算与处理电路、信号产生电路、直流稳压电源。 教学要求:本课程在完成上述内容的同时,需完成20学时的实验教学。在课程结束后,需完成二周的电子技术基础课程设计。所涉及的实验见《模拟电子技术基础》实验教学大纲;课程设计见《电子技术基础课程设计》课程设计教学大纲。 4.教学重点、难点 本课程的重点为教学要求中重点掌握的内容,难点为第二章、第三章。 5.教学方法及教学手段 本课程为技术基础理论课程,课程总学时数80,其中课堂讲授60,实验20,课程设计二周,采用以课堂教学为主。课外教学可引导学生参加系、院电子设计竞赛。 6.教材及主要参考书 [1] 康华光主编. 《电子技术基础》模拟部分第四版. 北京高教出版社. 1998 [2] 童诗白主编. 《模拟电子技术基础》第三版.北京高等出版社. 1998 7.其它 EDA工具应用: 1)了解电子器件的模型及其参数和获得模型参数的途径。 2)掌握EWB软件的使用方法,并能熟练的用EWB软件进行系统的仿真。 开课对象: 电子信息工程、自动化

广州大学347心理学专业综合2019年考研专业课真题试卷

广州大学2019年硕士研究生招生入学考试业务课试卷 招生学院(所、中心):教育学院 招生类别(请打勾选择):口学术型 / 口√专业学位试卷类型:口√A / 口B/ 口C 招生专业:应用心理 考试科目代码:347 考试科目名称:心理学专业综合 考生须知1、答题必须全部写在考场所发答题纸上,写在本试卷上一律无效。 2、不准在答题纸上作任何暗示性标记,否则以作弊处理。 3、答题时必须使用蓝色、黑色或蓝黑色铜笔、圆珠笔、中性笔答题。 4、考试完毕,本试卷和答题纸一起封装信封交回。 一、选择题 (每题2分,共30题,共60分) 1.在空中,由于浮云的移动,使人们联想为浮云后面的月亮在动,这种现象称为() A.运动后效 B.自主运动 C.诱导运动 D.动景运动 2.进行三段论推理时,有时出现错误的结论,例如“有些哲学家是诗人,有诗人是画家所以有些哲学家是面家。”伍德·沃斯对这种错误推理的解释是() A.在推理中过程中没有集中注意力 B.没有根据前提建立更多的心理模型 C.前提逻辑量词产生气氛影响人的结论 D.个体错误地解释了前提 3.“马带”反映的感觉现象是() A.感觉适应 B.感觉对比 C.感觉融合 D.感觉补偿 4.学习一个较长的学习材料,往是开头部分与末尾部分遗忘较少,而中间部分则遗忘较多这是因为受到了()的影响。 A.前摄抑制和倒摄抑制 B.前摄抑制 C.摄抑制的干扰抑制 D.倒摄抑制。 5.构造主义认为,心理学的研究对象是() A.外显行为 B.潜意识 C.意识流 D.意识 6.德国心理学家艾宾浩斯研究发现,遗忘的进程是不均衡的,它表现为() A.先慢后快 B.先快后慢 C.中间稍快 D.中间稍慢 7.感受性提高的感觉适应现象是() A.触觉适应 B.嗅觉适应 C.暗适应 D.明适应 第1页共 4 页

《模拟电子技术基础》教学大纲#

《模拟电子技术基础》教学大纲 Foundamentals of Analog Electronic Technology 、课程基本信息 二、课程教学目标 模拟电子技术是电类各专业的一门实践性较强的专业基础课。主要研究对象是半导体器件及其组成的各种基本单元电路和由基本单元电路组成的电子装置。单元电路包括分立元件单元电路和集成单元电路。 本课程的基本任务是使学生掌握模拟电子电路的基本工作原理、基本分析方法和基本应用技能使学生能够对各种由集成电路或(和)分立元件构成的基本电路单元进行分析和设计,并能够根据实际要求应用这些单元电路构成模拟电子系统的能力,为后续专业课程的学习奠定坚实的基础。课程内容先进,及时反映了本学科领域的最新科技成果。在课程教学中学生的能力培养始终将贯穿在整个教学过程中,通过学习该门课程使学生逐步提高获取知识的能力,逐步学会和掌握解决工程问题的思维 方法和研究方法。 三、教学基本要求 1器件方面: ①掌握常用的半导体器件的基本工作原理、特性和主要参数,并能合理选择和正确使用; ②了解模拟集成电路的电路结构和工作原理,掌握其主要性能和使用方法。 2、电路方面: ①掌握共射与共集放大器、差动放大器、基本运算放大器等电路结构、工作原理和性能; ②熟悉功率放大器、振荡器、整流器、稳压器以及由集成运算放大器组成的某些功能电路的组成、工作原理、性能和应用; ③熟悉放大器中的负反馈,振荡电路中的正反馈,掌握负反馈的基本形式及其对放大器性能的影响; ④了解阻容耦合放大器的频率响应。 3、分析方法方面 ①掌握放大电路的图解分析法,能确定放大电路的工作点,掌握微变等效电路分析法,能求放大 倍数、输入和输出电阻; ②能对放大电路单元进行近似估算。

《电子技术基础》研究生入学考试大纲

《电子技术基础》研究生入学考试大纲 课程名称:模拟电子技术、数字电子技术 一、考试的总体要求 模拟电子技术主要考察学生对基本概念、基本理论及基本方法的掌握程度,要求学生能分析计算基本放大电路、集成运算放大电路等模拟电子电路并具有综合运用所学知识分析和解决实际问题的能力。 数字电子技术主要考察学生对基本概念、基本理论及基本方法的掌握程度,要求学生能够运用基本理论分析、设计组合逻辑电路及时序逻辑电路并具有综合运用所学知识分析和解决实际问题的能力。 二、考试内容及比例: 模拟部分: 1、半导体器件(5~10%) (1)理解二极管单向导电特性,掌握二极管伏安特性; (2)理解三极管放大原理,掌握三极管的输入、输出特性曲线; (3)掌握MOS管的转移特性曲线、漏极特性曲线。 2、放大电路的基本原理(20~25%) (1)掌握基本放大电路的结构、工作原理及静态工作点计算; (2)掌握放大电路微变等效电路分析法,理解放大电路的图解分析法。 3、放大电路的频率响应(5~10%) 放大电路的上限频率、下限频率、通频带的概念。正确理解Bode图。 4、集成运算放大器(10~20%) (1)理解零点漂移的概念,理解差动电路克服温漂的原理; (2)掌握差动电路的分析与计算。 5、放大电路中的反馈(5~10%) (1)理解反馈的概念,掌握反馈类型的判断方法,能根据要求引入负反馈; (2)理解反馈对放大器性能的影响; (3)掌握放大电路在深度负反馈条件下的分析计算; (4)理解自激的概念,能根据波特图判断负反馈放大电路是否自激。

6、摸拟信号运算电路(20~25%) (1)理解集成运放电路中“虚短”、“虚断”概念。 (2)掌握基本运算电路的工作原理及分析计算。 7、信号处理电路(10~15%) 掌握信号处理电路原理及分析计算。 8、波形发生电路(10~20%) (1)掌握正弦波振荡电路的工作原理、起振条件、稳幅措施及分析计算; (2)能用相位平衡条件判断各种振荡电路能否起振。 9、功率放大电路(15~20%) OCL、OTL电路的工作原理及分析计算。 10、直流稳压电源(0~10%) (1)整流电路的工作原理及分析计算; (2)电容滤波电路的工作原理及分析计算; (3)稳压电路的工作原理及分析计算。 数字部分: 一、逻辑代数基础(5~10%) (1)逻辑代数基本概念、公式和定理; (2)逻辑函数的化简方法。 二、门电路(15~20%) (1)掌握分立器件门电路的分析方法; (2)理解TTL集成门电路的结构特点,掌握其外特性; (3)理解COMS集成门电路的结构特点,掌握其外特性。 三、组合逻辑电路(30~40%) (1)掌握组合逻辑电路的分析方法及设计方法; (2)熟悉典型组合逻辑电路的设计及逻辑功能表示方法; (3)掌握用中规模集成芯片MSI(译码器、数据选择器等)实现组合逻辑函数。 四、触发器(15~20%) (1)熟悉触发器电路的结构特点; (2)掌握各种结构触发器的功能特点、功能表示方法及应用。

2017年西安理工大学820模拟电子技术基础考研大纲硕士研究生入学考试大纲

西安理工大学研究生招生入学考试 《模拟电子技术基础》考试大纲 科目代码:820 科目名称:模拟电子技术基础 第一部分课程目标与基本要求 一、课程目标 本课程的目标是使考生获得模拟电子技术方面的基本理论、基本知识和基本技能,培养学生的分析问题和解决问题的能力,为以后深入学习电子技术某些领域中的内容、为后续课程、为电子技术工程中的应用打好基础。 二、基本要求 熟悉半导体器件——二极管、双极型晶体管、绝缘栅型晶体管(MOS管)的外特性和主要参数,正确理解它们的工作原理;熟悉基本电子电路的功能及有关概念、正确领会它们的工作原理;掌握分析模拟电子电路及其基本设计方法, 第二部分课程内容与考核目标 第一章半导体器件基础 1 半导体基础,要求达到“识记”层次 2 半导体二极管,要求达到“简单应用”层次 2.1 二极管的符号、伏安特性,单向导电性及主要参数;应用电路分析方法,限幅、整流电路波形分析及参数计算 2.2 稳压二极管使用的一般条件,主要参数,典型的电路结构,及其限流电阻的选择。 3 半导体晶体管,要求达到“领会”层次 3.1晶体管的结构和类型判别,电流分配关系。 3.2晶体管的伏安特性曲线,及其三个工作区各自的工作条件和特点、工作状态的判别。 3.3 交流微变等效模型的结构与使用方法。 4场效应管,要求达到“领会”层次 4.1 增强型MOS管的结构及工作原理 4.2 增强型MOS管转移特性和输出特性,三个区的划分,工作在恒流区的条件。 4.3 MOS管微变等效电路。 第二章放大电路及其分析方法 1 放大的概念及其一般分析方法,要求达到“识记”层次 1.1 放大的概念,放大电路的构成条件 1.2 放大电路的一般分析步骤及动态静态的叠加关系 2 晶体管基本放大电路,要求达到“综合应用”层次 2.2 固定偏置共射放大电路结构、静态图解分析法、近似估算法;动态图解分析、最大不失真输出幅度,动态微变等效电路法分析交流指标;直流通路、交流通路的画法; 2.2 分压式偏置共射放大电路的结构特点和工作原理;静态计算、动态交流指标计算,与分压式功能共射电路的比较;共射放大电路的一般特点。 2.3 基本共集电极放大电路结构特点,和工作原理;静态计算、动态交流指标计算,与共射放大电路的比较。共集电极放大电路的一般特点 2.4 基本共基极放大电路结构特点;交流通路特点,共基极放大电路的一般特点;三种基本放大电路的比较。2.5 增强型MOS管放大电路的结构特点和工作原理;静态计算、动态交流指标计算。 第三章多级放大电路 1 多级放大电路,要求达到“领会”层次 1.1 放大电路的直接耦合零漂的概念;不同的耦合方式对放大器静态动态参数的影响。 1.2 多级放大电路的动态分析基本方法。 1.3 放大电路的频率特性一般概念的正确理解。 2 差分放大电路,要求达到“综合应用”层次 2.1 差模输入、共模是输入、差模放大倍数、共模放大倍数等相关概念、动态与静态的关系。 2.2 长尾差分放大电路、恒流源差分放大电路的原理、直流通路、交流通路工作特点 2.3 差分的四种接法的结构特点,相互之间的关系,静态动态的分析计算 第四章放大电路的平率响应 1 放大电路的频响要求达到“领会”层次

《模拟电子技术》考试大纲

《模拟电子技术》考试大纲 一. 考试的目的和性质 考试的目的主要是检验学生对本课程教学大纲所规定的教学任务的完成情况,包括对模拟电子技术基本概念的理解程度,应用基本理论和分析方法对模拟电路进行分析、计算和实验的初步能力。 二.考试的内容和范围 考试范围:考试范围涵盖课程大纲中所规定的全部内容。 考试内容: 第一章常用半导体器件 1、考核知识 1)半导体基础知识 2)关导体二极管 3)三极管 4)场效应管 5)集成元件 2、考核要求 1)半导体知识 (1)了解本征半导体和杂质半导体 (2)理解PN结 2)、二极管 (1)了解共结构和分类 (2)掌握伏安特性和放大电路 3)三极管 (1)了解结构与分类 (2)掌握特性曲线 (3)理解温度对三极管的影响 4)场效应管 (1)了解场效应的原理与分类 (2)理解不同类型场管的作用 5)集成元件 了解制造工艺和分类

第二章基本放大电路 1、考核知识点 1)放大电路的工作原理 2)放大电路的分析方法(静态分析、图解法、微变等效电路)3)放大电路的静态工作点稳定 4)放大电路三种基本形式 5)放大电路派生电路 6)场效应管放大电路 2、考核要求 1)放大电路的几种形式 (1)了解三种基本接法 (2)掌握放大电路组成原则 (3)理解波形分析 2)静态分析 (1)理解静态工作点的必要性 (2)掌握计算静态工作点的方法 3)微变等效分析 (1)理解交流等效图 (2)掌握微变分析方法 4)场效应管 (1)了解场效应的三种接法 (2)理解不同类型场管的动态分析 第三章多级放大电路 1、考核知识点 1)多级耦合放大的耦合方式 多级耦合放大的动态分析 2)多级耦合形式 3)差分放大电路 2、考核要求 1)了解耦合形式 2)掌握多级放大电路的分析方 3)了解差分放大电路的传输特性 4)掌握差分放大电路的分析法 第四章集成运算放大电路 1、考核知识点

模拟电子技术基础 考试大纲2011年

武汉理工大学《模拟电子技术基础》考试大纲 第一部分关于考核的有关说明 一、考核要求 重点考核模拟电路所涉及到的有关概念、基本分析、计算方法。考核分三个层次要求: ◆掌握:要求学习者能够熟练运用的基本理论和基本分析方法。 ◆理解:要求学习者能够明确认识的基本概念和基本理论。 ◆了解:定性知道的一些概念。 二、试题题型 ◆判断题:主要涉及本课程的一些基本概念、特点、重要定义、结论等。 ◆填空题:论述所学的基本内容。 ◆计算题:运用基本理论通过计算求解的综合题。 ◆作图题:通过运用基本理论分析,画出电路图或波形图。 三、参考教材 ◆采用清华大学出版社出版武汉理工大学电子技术课程组主编的《模拟电子技术基础》; 第二部分考核内容和要求 第一章半导体基础知识 1、了解半导体的导电特性。 2、理解本征半导体(N型和P型)的特性,理解杂质半导体(N型和P型)的特性, 3、正确理解和掌握PN结的单向导电性。 第二章半导体二极管及其电路 1、掌握普通二极管的理想模型、恒压降模型、特性和应用,掌握波形绘制。 2、掌握稳压二极管的特性和应用,掌握波形绘制。 3、掌握发光二极管的特性和应用。 第三章双极型三极管及其放大电路 1、掌握双极型三极管的输入和输出特性、电流分配关系,理解双极型三极管的工作原理。 2、掌握放大的概念与本质,放大的条件。 3、熟练掌握四种典型三极管放大电路静态工作点的估算方法和用简化的h参数等效电路分析放大电路的电压放大倍数A v、输入电阻Ri、输出电阻Ro的方法,掌握r be的近似估算公式。 4、正确理解利用图解法分析放大电路的静态工作点和动态工作波形绘制情况。 5、正确理解温度变化对三极管参数的影响,掌握射极偏置工作点稳定电路的工作原理。 7、掌握多级放大电路的工作原理、特点和电压放大倍数的计算方法。一般了解各种耦合方式(直接耦合、阻容耦合、变压器耦合)的特点。 8、理解和掌握频率响应的概念,了解含有一个时间常数的单管共射放大电路频率响应。 9、正确理解上限频率fH、下限频率fL、通频带BW、频率失真、波特图的意义和掌握波特图的画法。 第四章单极型场效应管及其放大电路 1、了解JFET和MOSFET内部的工作原理;掌握场效应管的转移特性和输出特性; 2、正确理解场效应管与三极管各自特点; 3、理解场效应管基本放大电路的组成、工作原理及性能特点; 4、理解场效应放大电路的基本分析方法和性能指标计算,无大题计算。 第五章功率放大电路 1、理解功率放大电路的主要特点;

模拟电子技术基础考试大纲

模拟电子技术基础考试大纲 一、课程目标 掌握模拟电路的基本理论知识和基本分析计算方法,为以后的专业课学习打好基础。 二、基本要求 其基本要求是通过学习常用半导体器件的特性和模拟电子电路的分析和设计方法,使学生获得模拟电子技术的基本理论、基本方法和基本技能。了解模拟电子技术发展的概况,初步掌握模拟电子电路的分析、设计方法。 三、考试要求 第一章半导体器件 1、掌握PN结的形成及其单向导电作用,熟练掌握二极管、稳压管的外特性和主要参数。 2、掌握半导体三极管的工作原理,熟练掌握外特性和主要参数。 3、掌握结型和绝缘栅场效应管的工作原理,掌握外特性和主要参数、使用注意事项。 第二章基本放大电路 1、掌握放大的基本概念,放大电路的主要指标,掌握放大电路的组成特点。 2、掌握利用放大电路的图解法来确定静态工作点,分析动态过程和波形失真的方法。 3、熟练掌握放大电路的等效电路法,会计算静态工作点,能用h参数微变等效电路计算放大电路的电压放大倍数、输入和输出电阻。 4、了解共源、共漏放大电路的工作原理和分析万法。 5、了解复合管及组合放大电路。 第三章多级放大电路 1、熟练掌握直接耦合多级放大电路的工作原理、电压放大倍数、输入电阻和输出电阻的计算,掌握阻容藕合放大电路的工作原理和电压放大倍数的计算。 2、掌握直接耦合放大电路中零点漂移现象及其抑制措施。掌握差动放大电路的工作原理、输入和输出方式以及各项指标的计算。 第四章集成运算放大器 1、对集成运算放大器的内部电路仅作一般了解,熟练掌握理想集成运放的特点和实际运放的主要参数。 2、了解集成运放的种类,掌握选择和使用。 第五章放大电路的频率响应 1、熟练掌握放大电路频率响应的基本概念、隔直电容、旁路电容对低频响应的影响,结电容、杂散电容对高频响应的影响。 2、掌握含有一个时间常数的单级放大电路fl、f h的计算,掌握波特图的画法,掌握频率失真、增益带宽积和多级放大电路的频率响应。 第六章反馈 1、熟练掌握反馈的基本概念和分类,会判断反馈放大电路的组态类型,熟练掌握负反馈对放大电路性能的影响、在深度负反馈下放大倍数的计算。 2、熟练掌握虚短、虚断的概念、闭环放大倍数的表达式。 3、掌握负反馈放大电路的自激振荡条件,了解消振措施。 第七章信号的运算和处理 1、掌握线性应用和非线性应用的特性以及线性应用的分析方法。 2、熟练掌握由集成运放组成的比例、求和、减法、积分运算电路的工作原理以及输入和输出的关系,对微分、对数、指数、模拟乘法器等运算电路的工作原理以及输入和输出关系仅作一般了解,了解模拟乘法器的应用。

童诗白《模拟电子技术基础》(第4版)名校考研真题(多级放大电路)

第3章 多级放大电路 一、填空题 1.差分放大电路的输入端未加输入信号时,收到附近手机造成的10微伏电磁干扰,该电路的差模放大倍数是-30,共模抑制比是80dB ,则该差分放大电路的差模输出电压是( ),共模输出电压是( )。[北京邮电大学2010研] 【答案】-0.3mv ;0.015v μ。 【解析】共模抑制比为80db ,则有 20l g 80 C M R K = 43010| |||ud CMR uc uc A K A A -===, 3 310 uc A -=? 差模输出电压:30100.3m d ud id u A u v v μ==-?=- 共模输出电压:310 3100.0152 c uc ic u A u v v μμ-==??=。 2.直接耦合放大电路零点漂移产生的原因是________(①电源电压不稳定,②晶体管参数随温度变化)。[中山大学2010研] 【答案】② 【解析】在放大电路中,任何元件参数的变化,如电源电压的波动、元件的老化、半导体器件参数随温度变化而产生的变化,都将产生输出电压的漂移。 二、选择题

1.差分放大电路中发射极接入电阻R E的主要作用是( )。[北京邮电大学2010研] A.提高差模电压增益 B.增大差模输入电阻 C.抑制零点漂移 D.减小差模输入电阻 【答案】C 【解析】在差分放大电路中,增大发射极电阻 R的阻值,能有效抑制每一边电路的温 E 漂,提高共模抑制比。 2.图3-1电路中,电阻R E的作用是()。[北京科技大学2011研] 图3-1 A.仅对共模信号起负反馈作用 B.仅对差模信号起负反馈作用 C.对共模、差模信号都起负反馈作用 D.对共模、差模信号都无负反馈作用 【答案】A 【解析】差动电路对共模信号具有很强的抑制作用,在差模信号作用下Re中的电流变

《模拟电子技术》考试大纲(学生版)

《模拟电子技术》考试大纲 一、考试性质 《模拟电子技术》是高等学校自动化、电气工程及其自动化等专业的主要专业基础课之一。本课程的任务是:通过本课程的学习,使学生获得模拟电子技术方面的基本理论、基本知识和基本技能;使学生具有一定的电子技术方面的实践技能和应用能力;培养学生分析问题和解决问题的能力,为学习后续课程和毕业后从事电子技术方面的工作打下必要的基础。 二、考试内容 一、考试基本要求: 检验学生对本课程教学大纲所规定的学习任务的完成情况,包括对模拟电子技术基本概念的理解程度,应用基本理论和分析方法对电路进行分析、计算和实验的初步能力。 二、考核知识范围及考核要求: 第一章常用半导体器件 考试内容与要求: 1.掌握PN结的形成过程及单向导电原理 2.掌握晶体管的结构特点,及其对电流的放大和控制的机理 3.掌握二极管的伏安特性及晶体管的输入、输出特性 4. 掌握三极管类型判断、伏安特性及晶体管的输入、输出特性,电流放大系数,放大条件,工作区 5. 理解场效应管的类型,工作区,放大条件 6. 掌握稳压二极管工作区及其应用 第二章基本放大电路 考试内容与要求: 1.掌握两种基本分析方法,即图解法和微变等效电路法。掌握直流负载线和交流负载线的意义和作法;能利用图解法分析输出波形的非线性失真以及电路参数变化对负载线和静态工作点的影响。能分析三种(共射、共集、共基)基本放大电路静态工作点和动态指标Av、ri和ro,分析具有发射极电阻Re的共射放大电路以及射极输出器等等。 2.熟悉基本放大电路的三种接法(共射、共基和共集)及其特点 3.掌握复合管的作用和等效类型* 4. 熟悉放大电路的稳定分析* 第三章多级放大电路 考试内容与要求: 1.掌握多级放大电路的耦合方式

模拟电子技术大纲

《模拟电子技术》课程教学大纲 英文名称:Analog Electronics 课程编号:12314020 适用专业:应用电子技术 总学时数:80 学分:4 一、课程的性质、目的与任务: 《模拟电子技术基础》是电子类专业在电子技术方面入门性质的技术基础课。它具有自身的体系,是实践性很强的课程。通过教学使学生掌握模拟电路中的基本概念、基本原理和基本实验技能,掌握基本的分析方法和基本的测试手段,培养分析问题和解决问题的能力,为以后深入学习电子技术某些领域中的容,以及为电子技术在专业中应用奠定基础。 二、课程教学容及要求 第一章半导体器件 1、教学容 1.1半导体基础知识 1.2半导体二极管 1.3半导体三极管 1.4场效应三极管 2、本章的重点和难点 重点:三极管的电流放大原理及其伏安特性、PN结及其单向导电性、半导体二极管伏安特性

难点:三极管的电流放大原理 3、教学基本要求 掌握:三极管的电流放大原理及其伏安特性。 理解:PN结及其单向导电性、半导体二极管伏安特性。 了解:场效应管基本知识。 第二章放大电路基础 1、教学容 2.1 放大电路的基础知识 2.2 放大电路工作状态的分析 2.3 放大电路的偏置电路 2.4 放大电路性能指标的估算 2.5 放大电路的三种基本组态 2.6 场效应管放大电路 2.7多级放大电路 2.8放大电路的频率特性 2、本章的重点和难点 重点:放大电路工作状态分析、放大电路的偏置电路、放大电路性能指标的估算 难点:放大电路性能指标的估算 3、教学基本要求 掌握:放大电路工作状态分析、放大电路的偏置电路、放大电路性能指标的估算。 理解:放大电路的三种基本组态。 了解:场效应管放大电路、多级放大电路、放大电路的频率特性。 第三章集成运算放大器

兰州理工大学836模拟电子技术基础与电子技术基础2020年考研专业初试大纲

层次:硕士 考试科目代码:836 适用招生专业:控制理论与控制工程,检测技术与自动化装置,系统工程,模式识别与智能系统,电子信息 考试主要内容: 考试内容包括模拟电子技术基础及数字电子技术基础两部分,原则上两部分各占总分的50%。 模拟电子技术基础部分 1.半导体二极管及其应用电路①半导体及基本特性;②PN结及伏安特性;③二极管及伏安特性;④稳压二极管等半导体器件的结构、工作原理、特性、主要参数及使用方法;⑤半导体二极管应用电路的分析;⑥半导体二极管应用电路。 1.半导体二极管及其应用电路①半导体及基本特性;②PN结及伏安特性;③二极管及伏安特性;④二极管主要参数及使用方法;⑤稳压二极管等其他类型二极管的工作原理和特性;⑥半导体二极管应用电路的分析。 2.双极结型晶体管及其基本放大电路①双极型三极管的结构、工作原理、特性、主要参数及使用方法;②放大的概念和放大电路的主要技术指标;③基本共射极放大电路的组成及工作原理;④小信号模型分析法;⑤图解分析法;⑥静态工作点的选择与稳定;⑦共集和共基极放大电路;○8组合放大电路。 2.双极结型晶体管及其基本放大电路①双极结型三极管的结构、工作原理、特性、主要参数及使用方法;②放大的概念和放大电路的主要技术指标;③基本共射极放大电路的组成及工作原理;④小信号模型分析法;⑤图解分析法;⑥静态工作点的选择与稳定;⑦共集电极和共基极放大电路;○8组合放大电路。 3.场效应管及其放大电路①场效应管的结构、工作原理、特性、主要参数及使用方法;②场效应管放大电路的组成和分析方法。 4.放大电路的频率特性①放大电路频率特性概述;②三极管的高频等效电路;③放大电路的中频响应、高频响应和低频响应;④单管放大电路的频率特性;⑤多级放大器的频率特性。 5.功率放大电路①功率放大的一般问题;②互补功率放大电路;③功率放大电路的安全运行;④集成功率放大电路及其应用。

823电子技术基础考试大纲

823电子技术基础考试大纲 (适用于通信与信息系统、信号与信息处理、电子与通信工程、电路与系统、微电子学与固体电子学、电磁场与微波技术、物理电子学共7个专业) 一、考查目标 要求考生掌握低频模拟电路的基本理论概念、原理和分析方法,通过对各种电子电路进行分析和近似计算,观察电路工作特点,结合理论,对电路本质特性能够理解和应用。二、考试形式和试卷结构 1、考试形式 试卷满分为150分,考试时间为180分钟,答题方式为闭卷笔试 2、试卷结构 (1)题型结构 一、简答题。(共20分) 二、分析计算题(共60分) 三、设计题(共40分) 四、综合题(共30分) (2)内容结构 1、集成运放及其基本应用(约25分) 2、半导体二极管及其基本应用电路(约5分) 3、晶体三极管及其基本放大电路(约15分) 4、场效应管及其基本放大电路(约15分) 5、集成运算放大电路(约25分) 6、放大电路中的反馈(约30分) 7、信号的运算和滤波(约15分) 8、波形的发生与变换电路(约15分) 9、直流电源(约5分) 三、考查范围 1、集成运放及其基本应用 放大的概念和放大电路的性能指标,集成运算放大电路,理想运放组成的基本运算电路(比例运算电路,加减运算电路,积分运算电路和微分运算电路),理想运放组成的电压比较器(单限比较器,滞回比较器) 2、半导体二极管及其基本应用电路 半导体基础知识,半导体二极管及其基本应用电路,稳压二极管及其基本应用电路3、晶体三极管及其基本放大电路 晶体三极管及其放大电路的组成原则和基本分析方法(图解法,等效电路法),晶体管放大电路的三种接法,放大电路的频率响应 4、场效应管及其基本放大电路 场效应管(结型场效应管,绝缘栅型场效应管),场效应管的主要参数,场效应管与晶体管的比较,场效应管基本放大电路(场效应管放大电路静态工作点的设置,场效应管的交流等效模型,共源放大电路的动态分析,共漏放大电路的动态分析)

模拟电子技术基础教学大纲

《模拟电子技术基础》教学大纲 第一部分大纲说明 课程编码:13010040 一、课程的性质、目的与任务 《模拟电子技术基础》是电子信息工程和自动化专业必修的一门专业基础课。通过本课程的学习,使学生掌握半导体基本器件的原理、特性及其选用,了解和掌握常用模拟集成器件的外特性及其应用,掌握基本单元电路的组成、工作原理及其重要性能指标的估算,具有一定的读图能力和初步设计电路的能力,具有一定的动手实践能力和解决问题的能力,为后续课程的学习打下良好的基础。 二、与其它课程的联系 学习本课程应具备《高等数学》,《大学物理》和《电路分析》理论方面的基础。后续课程为《数字电子技术基础》,《高频电路》,《电子测量仪器》、《电视原理》和《电器控制技术》等课程。 三、课程的特点 1.对基本概念、基本分析方法的要求并重; 2.本课程理论性和实践性都较强; 3.实验课程是重要的学习与实践环节,课程设计是重要的补充。 四、教学总体要求 1.理解半导体基本器件的原理,特性、主要参数及其选用; 2.掌握信号放大基本单元电路的组成、工作原理及分析计算方法; 3.掌握信号的运算和处理基本单元电路的组成、工作原理及其分析计算方法; 4.掌握信号的发生和转换单元电路的组成、基本原理及其重要技术指标的计算; 5.通过实验课,理解信号的产生、放大、运算等各种不同处理方法及其采用相应不同的单元电路增强实践能力,掌握必要的测试技能和整理实验数据的能力。 五、本课程的学时分配表

六、教材及教学参考资料 教材:《模拟电子技术基础》 主编:童诗白 参考资料:《电子技术基础》 主编:康华光 第二部分教学内容和教学要求

《模拟电子技术》考试大纲

《模拟电子技术》考试大纲 一.适用对象: “专升本”入学考 二.考试内容与要求: .半导体二极管及其基本电路 ()理解半导体材料的构成,理解半导体的共价键结构。 ()掌握本征半导体和杂质半导体的概念,掌握空穴和自由电子的概念,掌握空穴和自由电子导电的概念。掌握多数载流子和少数载流子的概念,以及不同的杂质半导体中载流子的不同。 ()了解结的形成过程。重点掌握结的单向导电性。 ()掌握二极管的结构、二极管的伏安特性。了解二极管的主要参数。 ()掌握二极管的基本电路、二极管的主要模型和二极管电路的模型分析法。()掌握稳压二极管,了解发光二极管等其他特殊二极管。 .三极管及放大电路基础 ()掌握三极管的内部结构、三极管放大的原理、三极管的伏安特性曲线。()掌握三极管的基本放大电路的构成、三极管的三种基本接法、三极管的静态分析和动态分析。重点掌握三极管的基本分析方法:静态估算法和动态小信号等效电路分析法。 ()掌握基本和静态工作点稳定的共射极放大电路、共集电极放大电路,了解共基极放大电路。 ()了解多级放大电路和复合管。 ()了解放大电路的频率响应。 .场效应管放大电路 ()了解场效应管的分类。 ()理解场效应管的特性曲线及三种工作状态的特点。 .功率放大电路 ()理解功率放大电路的一般问题。 ()掌握乙类互补对称功率放大电路的工作原理。 ()掌握甲乙类互补对称放大电路。

.集成电路运算放大器 ()了解集成电路中的恒流源和差分放大电路的特点和作用。 ()了解集成运算放大电路的构成,理解其符号、主要参数和基本特点。.反馈放大电路 ()掌握反馈的基本概念和分类。 ()掌握负反馈放大电路的四种组态。 ()掌握负反馈对放大电路的影响。 .信号运算与处理电路 ()掌握理想运算放大器的概念和传输特性。 ()掌握基本运算电路。 ()理解运放组成的比较器,了解有源滤波电路。 .信号产生电路 ()掌握正弦波振荡条件。 ()掌握正弦波振荡电路。 .直流稳压电源 ()掌握小功率整流滤波电路。 ()掌握集成三端稳压电源的应用。 三.考试时间与方式: 分钟,闭卷。 四.参考书目: 周良权主编.模拟电子技术.第版.北京:高等教育出版社, 康华光主编.电子技术基础模拟部分.第五版.北京:高等教育出版社,

《模拟电子技术基础(第五版 康华光主编)》 复习提纲

模拟电子技术基础复习提纲 第一章绪论 )信号、模拟信号、放大电路、三大指标。(放大倍数、输入电阻、输出电阻) 第三章二极管及其基本电路 )本征半导体:纯净结构完整的半导体晶体。在本征半导体内,电子和空穴总是成对出现的。N型半导体和P型半导体。在N型半导体内,电子是多数载流子;在P型半导体内,空穴是多数载流子。载流子在电场作用下的运动称为漂移;载流子由高浓度区向低浓度区的运动称为扩散。P型半导体和N型半导体的接触区形成PN结,在该区域中,多数载流子扩散到对方区域,被对方的多数载流子复合,形成空间电荷区,也称耗尽区或高阻区。空间电荷区内电场产生的漂移最终与扩散达到平衡。PN结最重要的电特性是单向导电性,PN结加正向电压时,电阻值很小,PN结导通;PN结加反向电压时,电阻值很大,PN结截止。PN 结反向击穿包括雪崩击穿和齐纳击穿;PN结的电容效应包括扩散电容和势垒电容,前者是正向偏置电容,后者是反向偏置电容。 )二极管的V-I 特性(理论表达式和特性曲线) )二极管的三种模型表示方法。(理想模型、恒压降模型、折线模型)。(V BE=) 第四章双极结型三极管及放大电路基础 )BJT的结构、电路符号、输入输出特性曲线。(由三端的直流电压值判断各端的名称。由三端的流入电流判断三端名称电流放大倍数) )什么是直流负载线什么是直流工作点 )共射极电路中直流工作点的分析与计算。有关公式。(工作点过高,输出信号顶部失真,饱和失真,工作点过低,输出信号底部被截,截止失真)。 )小信号模型中h ie和h fe含义。 )用h参数分析共射极放大电路。(画小信号等效电路,求电压放大倍数、输入电阻、输出电阻)。 )常用的BJT放大电路有哪些组态(共射极、共基极、共集电极)。各种组态的特点及用途。P147。(共射极:兼有电压和电流放大,输入输出电阻适中,多做信号中间放大;共集电极(也称射极输出器),电压增益略小于1,输入电阻大,输出电阻小,有较大的电流放大倍数,多做输入级,中间缓冲级和输出级;共基极:只有电压放大,没有电流放大,有电流跟随作用,高频特性较好。) 复合管类型及判别。(类型与前一只管子决定) )什么是波特图怎样定义放大电路的带宽(采用对数坐标的幅频和相频特性曲线。) )RC低通电路的波特图及特点。(3dB带宽) )影响三极管带宽上限的原因是晶体管极间电容和分布电容的存在,使高频信号增益降低。 第五章场效应管放大电路 )什么叫单极性器件场效应管和BJT放大原理的最根本的不同点是什么 )场效应管的种类及符号识别。(重点为N沟道增强型场效应管,该管在放大状态下,开启电压V T为正值,栅极电压应大于源极电压)。

上海大学模拟与数字电路(复试科目)2018年考研专业课大纲

2019年上海大学考研专业课初试大纲 考试科目:模拟与数字电路(复试科目) 一、复习要求: 要求考生熟悉电子器件的性能,掌握模拟电子电路与数字电子电路的工作原理和基本分析方法,掌握各种电路主要技术指标的计算。 二、主要复习内容: 1、掌握二极管、三极管、场效应管等电子器件的外特性及分析方法;重点掌握基本放 大电路的三种组态及静态、动态技术指标的分析和计算;了解放大电路的图解分析 方法和放大电路频率响应的基本概念。 2、掌握负反馈放大电路的基本概念,正确判断四种反馈类型,重点掌握深度负反馈条 件下电压放大倍数的近似计算;了解负反馈对放大电路性能的改善。 3、掌握差分放大电路的工作原理,分析、计算不同输入、输出方式情况下静态、动态 性能指标;掌握用集成运算放大器构成的比例、求和、积分、微分、指数、乘法电 路的计算。 4、了解功率放大器的一般问题及甲、乙类功率放大电路的结构和工作原理;重点掌握 输出功率,效率等技术指标的计算;了解小功率整流滤波电路的构成,掌握串联反 馈式直流稳压电路的组成、工作原理及有关计算;了解三端集成稳压电路的应用。 5、理解正弦波振荡电路的振荡条件,应用此振荡条件分析RC、LC正弦波振荡电路; 了解比较器的工作原理;掌握方波、三角波产生电路的工作原理及振荡频率、幅度的计算。 6、了解数字逻辑基础的基本概念,理解数制、码制、逻辑函数的各种不同表示方法; 掌握各种基本逻辑门电路的性能及其应用。 7、理解组合逻辑电路分析、设计的一般方法;掌握中规模集成组合逻辑功能器件的应 用。 8、掌握各种触发器的逻辑功能、特性方程、状态图、波形图等描述方法;掌握常用的 时序逻辑电路的分析方法;掌握常用的中规模集成计数器的应用。 9、了解可编程逻辑器件(PLD)、复杂可编程逻辑器件(CPLD)以及现场可编程门阵 列(FPGA)的结构和编程原理。 10、掌握555定时器电路结构、工作原理及其应用。 精都考研网(专业课精编资料、一对一辅导、视频网课)https://www.360docs.net/doc/6311777772.html,

模拟电子技术课程考试大纲

《模拟电子技术》课程考试大纲 一、考试大纲说明 1、考试目标和要求 考查学生对模拟电子技术方面的基本理论、基本知识和基本技能的掌握情况,以及考查学生分析问题和解决问题的能力。 2、教学参考书 梅开乡梅进军主编.模拟电子技术.北京:北京理工大学出版社.2009年1月第1版. 二、考试内容和具体要求 (一)半导体器件 (1)考核知识点 本征半导体、杂质半导体;PN结及其单向导电性、PN结的形成及特性、半导体二极管、二极管的伏安特性与主要参数、特殊二极管、半导体二极管的应用;绝缘栅场效应管与结型场效应管、MOS场效应管的主要参数、场效应管使用的注意事项;双级型三极管的结构、电流分配与放大原理、特性曲线与主要参数。 (2)考核要求 1、了解本征半导体、杂质半导体的基本结构及PN结的形成。 2、掌握绝缘栅场效应管(MOSFET)的外部特性(包括输入特性和转移特性)、主 要参数、典型应用、场效应管使用的注意事项,正确理解其工作原理。 3、掌握普通二极管与稳压二极管的外部特性、主要参数及典型应用,正确理解其 工作原理。 4、正确理解双极型三极管(BJT)的外部特性(包括输入特性和转移特性)、主要 参数、典型应用及其工作原理。 5、了解常用新型半导体器件的型号、性能参数、引脚排列图及期应用。 (二)基本放大电路 (1)考核知识点 放大器的用途与分类、放大器的主要性能指标;场效应放大电路的直流偏置电路与静态分析、场效应管的小信号等效电路、共源极放大电路、共漏极放大电路、共栅极放大电路、场效应管三种放大电路的比较;双极型晶体三极管共射极放大电路、共基极放大电路、共集电极放大电路及其性能的比较;双极型晶体管三极管放大电路静态工作点对非线性失真的影

相关文档
最新文档