基于单片机的自动打铃系统

基于单片机的自动打铃系统
基于单片机的自动打铃系统

课程设计(论文)

题目名称基于单片机的自动打铃系统

课程名称单片机原理及应用

学生姓名712

学号

系、专业物电学院

指导教师

2010年6月28 日

摘要

本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89C51单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT98C51单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。

关键词:AT89C51;数码管;LED

目录

引言 (1)

第一章设计简介及方案论述 (1)

1.1 作息时间控制钟系统概述 (1)

1.2 本设计任务和主要容 (1)

第二章系统硬件电路设计 (2)

2.1单片机总体设计思路 (2)

2.2各功能模块程序实现原理分析 (2)

2.21七段式数码管驱动模块 (2)

2.22蜂鸣器驱动模块 (2)

2.23按钮控制模块 (3)

2.3系统主要硬件电路 (5)

2.31七段式数码管驱动模块的硬件设计 (6)

2.32蜂鸣器驱动模块的硬件设计 (7)

第三章系统软件设计 (8)

3.1 系统软件设计的主要容 (8)

3.2 系统软件设计的流程图 (8)

第四章系统调试与测试结果分析 (10)

4.1 系统调试 (10)

4.11硬件调试 (10)

4.12软件调试 (10)

4.13硬件软件联机 (10)

4.2仿真结果 (10)

第五章附录及参考文献 (12)

5.1汇编程序清单 (12)

5.2器材仪表 (34)

5.3参考资料 (34)

引言

本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。

当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效的方法就是理论与实践并重,本文用AT89C51单片机设计的一个自动打铃系统。

第一章设计简介及方案论述

1.1作息时间控制钟系统概述:

本设计是一个具有报时功能的作息时间控制钟。它利用89C51单片机的2Hz时基计时,进行年历计算,并用的蜂鸣器驱动模块将它报出来;在进行时间计算,分每加一时,都与规定的作息时间比较,如果相等则进行相应的控制或动作。由七段显示驱动模块、蜂鸣器驱动模块和按钮控制模块三部分组成,四个按键用于报时及校正时间。现代机关企业,特别是学校要求对时间加以控制,要按时打铃及播放广播,以保证学习与工作的正常运行。本设计实现了这些功能,给学校及其他机关企业带来方便,整体性好,人性化强、可靠性高,实现了对时间控制的智能化。

1.2本设计任务和主要容:

(1)设计任务

用可编程器件为主体,设计并制作一台自动打铃系统。要求完成的作品必须固化软件,测试检查时上电即可工作,不允再用计算机下载。实现能够显示当前的时间,同时能够在规定的时间点控制打铃装置打出预期的铃声。另外增设四个按钮,通过分配以实现对时间的调整,包括对时钟、分钟的增加和减少,秒钟的清零;以及强制打铃和关闭打铃。

(2)主要容

1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。

2、能设置当前时间(含上、下午,时,分)

3、能实现基本打铃功能,规定:

上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。

第二章系统主要硬件电路设计

2.1单片机总体设计思路

(1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED 的显示屏;

(2)进行软件设计,利用单片机系统时钟先设计一个高精度的部时钟系统,最小精确时间为期1秒;

(3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能;

(4)设计打铃执行机构,完成自动打铃功能。

2.2各功能模块程序实现原理分析

图2-1

模块组成框图如图2-1所示,该模块由蜂鸣器驱动模块、蜂鸣器驱动模块和按钮控制模块三部分组成。且三部分都通过AT89C51来实现。

2.21七段式数码管驱动模块

采用动态扫描方式,通过一组单片机端口驱动并联在一起的LED发光管的一端(共阴或共阳端),LED发光管的另一脚接通用I/O口,控制其亮灭。该方法能驱动较多的

单片机自动打铃系统设计

自动打铃系统 ----学校上下课自动打铃设计 设计人: 要求:(1)实现上下课的打铃,并通过语音提示上下课;(2)按下开机键,显示当前年月日时间,在LCD液晶屏显示年,月,日,星期,时,分,秒,年-月-日-星期显示在第一行,格式xx-xx-xx-星期x;时分秒显示在第二行,格式xx-xx-xx(24小时格式); (3)能够设置当前时间; (4)使用语音芯片提示上下课,上课时提示:“亲爱的同学们,

上课了”,重复2遍,下课时提示:“亲爱的同学们,下课了“,重复2遍。 (5)允许使用时钟芯片。 《摘要》 单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统 这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。 设计一台自动打铃系统 一、设计任务 用单片机器件为主体,设计一台自动打铃系统。 (1)按照设计标准,画出系统框图和系统硬件电路图。 (2)完成该课题的程序设计,提交程序设计框图及程序设计清单。 (3)提交课程设计报告 二、设计要求 (一)基本要求 (1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。 (2)能设置当前时间(含上、下午,时,分) (3)能实现基本打铃功能,规定: 上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。 下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。 铃声可用小喇叭播放,凡是用到铃声功能的均按此处理 (二)发挥部分 (1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 (2)增加调整起床铃、熄灯铃时间的功能。 (3)增设上午4节课的上下课打铃功能,规定如下: 7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 (4)特色和创新自选。 三、设计步骤 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏; (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒; (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。 四、课程设计说明书要求

定时打铃器

专业课程设计任务书 20 13 -20 14学年第 2 学期分散1周第 17 周- 19 周集中 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 如今随着电子技术广泛应用于社会生活生产中,逐渐成为了生活的必备技术,而51单片机以其优越的性能、成熟的技术和较高的可靠性,占领了工业控制领域的主要市场。打铃器是我们日常生活中使用很广泛的一种时间提示工具,以前的人工打铃已经不适合现在高效的社会理念,而自动打铃器具有实时性强、准确度高和可控制性较好等特点。 此次专业课程设计是利用单片机编程设计一个定时打铃器,通过单片机控制7279芯片对键盘和数码管的管理,设计出一个可以任意设置两个打铃时间点,并可以对时间和定时进行校时进行校时的打铃器。当时间到定时时间,则打铃一分钟,而后自动关闭,实现了定时打铃的目的,再通过外接驱动蜂鸣器电路,响铃。 本文着重讲软件设计部分,主要采用模块化设计方式用汇编语言编写整个程序,程序中主要有7279的显示、读键、发送、初始化程序等。将其分成主程序、键盘扫描子程序、显示子程序、打铃子程序等几个部分来分别设计,这样不仅思路清楚,也方便程序的编写、便于之后的调试。 关键词:单片机、7279显示、键盘扫描、定时打铃

目录 前言 (1) 第一章系统组成及工作原理 (2) 1.1设计要求 (2) 1.2系统组成 (2) 1.3工作原理 (2) 1.3.1硬件工作原理 (2) 1.3.2软件工作原理 (3) 第二章硬件电路方案设计 (4) 2.1单片机控制系统 (4) 2.2键盘显示电路设计 (5) 2.3打铃电路设计 (7) 2.4方案选择 (9) 2.3元件选择与参数计算 (9) 第三章软件设计 (11) 3.1程序设计思想 (11) 3.2主程序设计 (12) 3.3键盘扫描子程序设计 (13) 3.4显示子程序设计 (14) 3.5中断子程序设计 (14) 第四章实验、调试和测试结果与分析 (16) 4.1硬件分析 (16) 4.2软件分析 (16) 第五章总结 (17) 参考文献 (18) 附录1 定时器程序清单 (19) 附录2 元件清单 (29) 附录3 定时器实物图 (29) 附录4 定时器原理总图 (30)

基于单片机的校园打铃系统设计_毕业设计说明书(论文)

信息职业技术学院 毕业设计说明书(论文) 设计题目: 基于单片机的校园打铃系统设计 专业: 应用电子技术 班级: 应电08-2 学号: 姓名: 指导教师: 二〇一〇年九月十日

信息职业技术学院毕业设计(论文)任务书 学生 学号班级应电08-2 专业应用电子技术 姓名 设计(或论文)题目基于单片机的校园打铃系统设计 指导教师姓名职称工作单位及所从事专业联系方式备注 工程师学01 设计(论文)内容:利用单片机做为主控器件,设计一校园打铃系统的硬件部分: 1.实现打铃时间的设置和修改; 2.显示当前日期、时间; 3.掉电情况下计时工作正常进行、能保存以前设置的时刻。 基本要求: 1.正确选择元器件完成相应功能; 2.设计整机电路、明晰系统工作原理; 3.系统仿真; 4.完成说明书撰写。 进度安排: 第3~6周:选题及查找相关资料主要查找与课题相关的资料; 第7周:相关资料的整理并对其进行理解; 第8周:对毕业论文的资料进行初步的整理; 第9周:期中检查; 第10周:对论文进行修改及进行仿真实验; 第11周:对毕业论文进行排版; 第12周:检查排版及内容; 第13周:加深对毕业论文的理解准备答辩; 第14周:检查毕业设计论文的地方准备答辩; 第15周:答辩。 主要参考文献、资料(写清楚参考文献名称、作者、出版单位): [1]苏平.单片机原理与接口技术.北京:电子工业出版社,2003 [2]林伸茂.8051单片机彻底研究实习篇.北京:人民邮电出版社,2005 [3]韩志军.单片机应用系统设计.北京:机械工业出版社,2005 [4]陈坤.电子设计技术.成都:电子科技大学出版社,1997 [5]郑应光.模拟电子线路(一).南京:东南大学出版社,2005 [6]李秀忠.单片机应用技术.北京:人民邮电出版社,2007 审 批 意 教研室负责人: 见 年月日 备注:任务书由指导教师填写,一式二份。其中学生一份,指导教师一份。

基于51单片机的自动打铃系统

机电信息工程学院 单片机系统课程设计报告 系:电子信息工程系 专业:电子信息工程 班级:072班 设计题目:自动打铃系统设计 学生姓名:张锡斌仇龙佳 指导教师:刘忠富于为民 完成日期:2010年5月31日

目录 一、设计任务和性能指标 (2) 1.1设计任务 (2) 1.2性能指标 (2) 二、设计方案 (2) 三、系统硬件设置 (3) 3.1、单片机最小系统 (3) 3.2时钟电路DS1302 (4) 3.3、显示电路的设计 (5) 3.4、键盘接口的设计 (5) 3.5打铃电路的设计 (6) 四、系统软件设计 (7) 4.1程序流程图 (7) 4.2主程序设计 (10) 4.3显示子程序的设计 (11) 五、调试及性能分析 (12) 5.1调试步骤 (12) 5.2性能分析 (12) 六、心得体会 (12) 参考文献 (13) 附录1 系统硬件电路图 (14) 附录2 程序清单 (15)

一、设计任务和性能指标 1.1设计任务 用单片机器件为主体,设计一台自动打铃系统。 (一)基本要求 1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数 字显示,秒信号指示。 2、能设置当前时间(含上、下午,时,分)。 3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、 再打铃5秒。下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。 (二)发挥部分 1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 2、增加调整起床铃、熄灯铃时间的功能。 3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下 课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 4、特色和创新自选。 1.2性能指标 1.时钟:上下午(1位)、时(2位) 、分(2位) 2.校对键:确认键/设置键、右移键/灭铃键、加键、减键 3.响铃:蜂鸣器二.设计方案 二、设计方案 按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。电路系统构成框图如图1.1所示。主控芯片使用51系列AT89C52单片机,采用高性能的静态80C51设计,由先进工艺制造,并带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位COMS 微处理芯片,市场应用最多。 时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。采用DS1302作为主要计时芯片、可以做到计时准确。更重要的是,DS1302可以在很小的电流的后备电源(2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流对后备电源进行慢速充电,可以保证后备电源基本不耗电。采用串行数据传输,与单片机硬件连接简单,如果使用时钟芯片DS12887,将采用并行数据传输,占用更多的硬件资源。因此为节省单片机端口,时钟芯片采用DS1302。

单片机自动控制打铃系统设计

单片机自动控制打铃系统,是以一片8位单片机为核心的实时时钟及控制系统.我们知道单片机的外接石英晶体震荡器能提供稳定、准确的基准频率,并经12分频后向内部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得到秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息.如果石英晶体震荡器的频率信号为6MHZ,设定定时器定时器工作在方式1下,定时器为3CB0H,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数到60,则向时计数器进位,如此周而复始的连续技术,便可获得时、分、秒的信号,建立一个实时时钟.接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求. 2.2 ISP下载电缆的电路及程序设计简介 能实现ISP功能的硬件电路,通常被称为“下载电缆”。ATMEL公司推出的AT89S51/52单片机就具有ISP功能。用计算机并行口实现ISP功能,在电路设计上非常灵活。(1)下载电缆的电路设计: 计算机并行接口共有25个口线,主要包括数据端口D0~D7(端口地址为378H,用于数据输出);状态端口Busy、nAck、PE、Select、nError(端口地址为379H,用于数据输入);控制端口nSelin、nlnit、nStrobe(端口地址为37AH,用于输出控制)。从中选出4个口线来模拟ISP所需的引脚,就非常灵活,只需考虑数据的输入、输出方向及操作方便即可。但要注意同一端口的数据方向必须一致,例如数据端口是8位同时操作的,只能全部作为输入或输出,而不能将一部分做输入,另一部分做输出。 下载电缆的电路如图附件一所示。该电路主要包括并行接口电路、驱动隔离电路和JTAG接口电路3大部分,这里只所以说JTAG接口电路,是因为ISP传输虽然在协议上符合SPI协议,但引脚是按照JTAG标准而定义的,它们的对应关系如下:TCK对应SCK,D0对应MOSI,TMS对应RST,TDO对应MISO。 (2)并行接口电路 该电路是按计算机并行口标准定义的,在电路中采用nStrobe模拟TCK,用D0模拟TDI,用nSelin模拟TMS。用nACK模拟TDO。这样的定义方法就决定了TCK时钟和TMS的产生要由并行口的控制端口产生;TDI由并行口的数据端口产生,TDO要由状态端口获取,不同的端口操作地址不同,涉及程序的编写。这些对应关系见表:

数电自动打铃器课程设计

数字电子技术课程设计题目自动打铃器 姓名:___ XXXXXX ___ 所在学院:工学院 所学专业:_ 电气工程及其自动化 班级___ 电气工程XXXX 学号___ XXXXXXXXXXXXX 指导教师:_____ XXXXXX_ ___ 完成时间:____ 2XXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级: 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

毕业设计--单片机自动打铃系统论文

摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候都需要对时间进行规划,然后到时间点就要有时间提醒,这就必须用到时钟提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃装置,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上下课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排,同时,也可作为一个提醒学生们作息时间的时间表,让老师和学生都能有一个规律和科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以AT89S51单片机为控制器,以DS1307时钟芯片为系统提供时间,并在液晶显示器上显示,通过按键可以设定定时打铃时间和打铃的时间间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。本文提出的设计方法电路简单、成本低廉、实用性强。 关键字:打铃器、AT89S51单片机、DS1307、液晶显示器

Abstract Now fast-paced life, the time more and more demanding, often need time to plan and then to the point in time there should be reminded, which must be used to clock reminder can also be calledrang the bell device. Rang the bell device are many, such as the phone rang the bell system, mechanical bell device of the alarm clock, radio bell systems, etc., but in daily life appear or campus automatic bell system. In school life, the last class of the day are inseparable from the bell system. The bell can provide time for the last class of students and teachers to remind conducive to a reasonable arrangement of the teachers and students to school and learning, but also as a reminder of the schedule of the students schedule, so that teachers and students cana law and scientific timing. Therefore, the core part is the clock part of the bell system, the system provides a time reference. This design is mainly for the applicable requirements of the campus bell system, introduced a microcontroller-based automatic bell system design method, the system controller is AT89S51 SCM , the DS1307 clock chip provide the system with time, and the LCD displayed on the monitor button can set the time interval of the timer rang the bell time and rang the bell. System software design using C language, C language syntax is simple, easy to use, very convenient to be used to complete the software design. This paper presents the design circuit is simple, low cost, and practical. Key words: Rang the bell AT89S51 SCM the DS1307 LCD monitors

自动打铃系统设计

<<综合课程设计>> 自动打铃系统设计报告 题目:自动打铃系统 专业:电子信息工程 年级: 学号: 学生: 联系: 指导老师: 完成日期: 2013年12月30日

自动打铃系统 摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候需要对时间规划,然后到时间点就要有时间提醒,这就必须用到时中提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃系统,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排。同事也可以作为一个提醒学生作息时间的时间表,让老师和学生都有一个规律科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以STC89C52单片机为控制器,以DS1302时钟芯片为系统提供时间,并在1602液晶显示器上显示,通过按键可以设定定时打铃时间和打铃间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。 关键词:打铃器、STC89C52单片机、DS1302、LCD1602

ABSTRACT In today's fast-paced life, people are more and more requirements, in many cases need time to plan, and then to point in time will have time to remind, which must be used to remind device, also known as Bell equipment. Bell devices there are many, such as phone ringing system, the mechanical Bell alarm clock system, broadcast the Bell System, and so on, but in everyday life up to the school bell system automatically. In school life, are inseparable from the Bell system used in class every day. Bell can provide reminder of students and teachers to and from school, reasonable arrangements conducive to teachers and students on school and learning. Colleagues can be used as an alert student hours schedule, so that teachers and students have a timeline of the laws of science. Accordingly, Bell clock in the core part of the system, provide a time reference for the system. Designed primarily for the Bell System requirements apply to the campus, and introduces an automatic Bell system based on single-chip design methods, systems with STC89C52 single-chip controller, DS1302 provides the system time clock chip, in 1602 and displayed on the LCD by pressing the set ringing and ringing in intervals of time on a regular basis. System software design using the c language to complete, c language syntax is simple, easy to use, is very convenient for completing a software design. Key Words: t Bell, DS1302, collector, STC89C52 single-chip LCD1602

基于单片机的校园打铃系统设计方案

基于单片机的校园打铃系统设计方案 第1章绪论 校园打铃系统就是利用现代计算机、通讯等技术,以传统的铃声系统为基础,根据用户对铃声系统功能的要求,由单片机来控制、管理、播放的系统。 通过把播放的容以数字形式存放在存储器中,然后单片机通过控制软件,按照学校设定的播放时间和容控制单片机自动将存储器中的数字音乐文件播放出来。铃声控制系统整体由两部分组成:主控中心和终端电铃。主控中心以单片机为核心,包括控制电路、显示电路、键盘电路和存储电路。终端电铃为响应控制设备,通过其自身的控制系统可以获得清晰、响亮的声响。 单片机在电子产品中的应用已经越来越广泛,并且在很多电子产品中也将其用到校园铃声和广播控制。单片机又称单片微控制器,是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词—“智能型”,如智能型洗衣机等。 本次设计是实现一个单片机的校园打铃系统,能过设置打铃时间,同时要求能够在系统掉电时,时间能够继续,数据能够保持,能够实现打铃。

第2章方案设计与论证 2.1 系统方案选择与比较 2.1.1 控制模块方案选择 校园打铃系统设计方案有多种,下面提出两种电路方案。 方案一:主要是由石英晶体振荡电路和分频器电路组成的脉冲发生器、校时电路、报时电路以及时、分、秒计数器和译码显示电路等电路组成,其中采用计数器74LS290、译码器74LS49、分频器和八段数码管显示器等器件组成的校园打铃系统,整个系统有控制简单,调试容易等优点,但是其显示功能单一、电路复杂。其组成方框图如下2-1所示: 图2-1 方案一组成方框图 方案二:采用ATC公司的单片机ATC89C51作为控制器。单片机运算能力强,软件编程灵活,自由度大。它是MCS-51系列单片机的派生产品,在指令系统、硬件结构和片资源上与标准8051单片机完全兼容,使用时容易掌握;采用ATC89S52单片机稳定可靠、应用广泛、通用性强。

基于51单片机的自动打铃机设计

单片机系统课程设计 课程设计名称:自动打铃器设计 专业班级:XXXX 学生姓名:XXXX 学号:XXXXXXXX 指导教师:XXX 课程设计地点:XXXX 课程设计时间:XXXXXXXXXX

单片机系统课程设计任务书学生姓名专业班 级 自动化学号 题目自动打铃器设计 课题性质工程设计课题来源老师拟定 指导老师XXX 主要内容(参数)基于89c51单片机可以实现以下功能: 1、根据自己需要设计打铃时间,到定时时间自动打铃。 2、8位LED动态显示,实现表24小时制计时和显示功能。 3、能设置当前时间 4、可以随时更改打铃时间 任务要求(进度)1、查阅有关资料,熟悉设计任务要求,确定设计方案,大概需要1——2天。 2、按照确定的方案设计单元电路,画出单元电路图,元件及元件参数的选择有依据,大概需要1——2天的时间 3、软件设计及编写程序,大概需要1——2天的时间。 4、实验室调试,需要1——2天。 5、撰写课程设计报告。要求内容完整、图表清晰、文理流畅、格式规范、方案合理设计正确。 主要参考资料[1]张迎新.单片机原理、应用及接口技术[M].北京:国防工业出版社,2004 [2]李光飞.单片机课程设计实例[M].北京:北京航天航空大学出版社,2004 [3]周润景,袁伟婷,景晓松.Proteus在MCS-51系统中的应用[M].北京:电子工业出版社,2006 [4] 邓兴成.单片机原理与实践指导.北京:机械工业出版社,2010 [5] 阎石.数字电子技术基础.北京:高等教育出版社,2009 审查意见系(教研室)主任签字:年月日

目录 1概述 (5) 1.1研究背景 (5) 1.2设计思想及基本功能 (5) 2总体设计 (5) 2.1模块设计 (5) 2.2程序流程图 (5) 3硬件单元设计 (6) 3.1电路设计总原理图 (7) 3.2各模块电路设计 (7) 3.2.1键盘扫描模块 (7) 3.2.2时钟与复位模块 (8) 3.2.3显示模块 (8) 3.2.4响铃模块 (9) 4软件设计 (9) 4.1键盘扫描程序 (9) 4.2主程序 (10) 4.3显示程序 (13) 4.4响铃程序 (14) 5 总结 (17) 6参考文献 (17) 7附录 (18)

基于单片机自动打铃系统设计

目录 第一部分设计任务 (2) 1、毕业设计的主要任务 (2) 2、单片机总体设计思路 (2) 第二部分设计说明 (3) 1、单片机介绍 (3) 2、设计说明 (3) 3、软件设计 (8) 第三部分设计成果 (12) 1、开机运行图 (12) 2、自动打铃器源程序 (12) 第四部分结束语 (15) 第五部分致谢 (18) 第六部分参考文献 (19)

第一部分设计任务 1、毕业设计的主要任务 设计一个采用4位数码管显示时间秒、分、时,伴有调时校正电路,响铃控制则是通过作息时间表和定时器来实现自动打铃的单片机控制系统。 对于不同的季节,作息时间可能不同,可以制定多个作息时间表采用开关切换达到目的。 本设计采用了1个开关实现夏季和冬季作息时间的切换,完成一个自动循环。 2、单片机总体设计思路 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏。 (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒。 (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能。 (4)设计打铃执行机构,完成自动打铃功能。

第二部分设计说明 1、单片机介绍 本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等4部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这是秒单元加1。同理,对分单有采用动态扫描LED的显示。本系统采用四个按键,当时钟时间和设置时间一直时元和时单元计数从而产生秒、分、时的值,通过六位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口作,进行打铃,每次打铃30s较复杂,考虑显示只有六位,且系统没有其他浮躁的处理程序。 2、设计说明 2.1 AT89C51简介 一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.1.2引脚说明 VCC:供电电压。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,

自动打铃器设计肖成华

FPIT-R-JX11-2303-40 信息职业技术学院成人高等教育 毕业论文(设计) 题目自动打铃器设计 姓名肖成华 学号12412215162 年级与专业2012机电一体化

指导教师圣养 毕业论文(设计)任务书 题目:自动打铃器设计 一、指导教师对毕业论文(设计)的进度安排及任务要求: 任务:1、应查阅参考文献5篇以上 2、毕业设计提纲(选题意义、论文框架结构) 3、毕业设计论文(目录、标题、论文摘要、开题报告及关键字、正文) 进度安排: 2014年5月选题 2014年6月-2014年7月完成毕业论文提纲及文献综述、系统设计文案。 2014年6月-2014年8月完成毕业论文初稿及系统设计 2014年9月-2014年10月完成毕业论文及系统调试

起讫日期2014年5月1日至2014年10月30日 指导教师(签名)圣养职称讲师 目录 摘要1 一.绪论2 二. 自动打铃器的基本原理2 三. 设计方案2 四.设计原理分析3 4.1校时模式3 4.2定时模式3 4.3工作模式3 4.4储存器93C46的相关介绍3 五.硬件设计4 5.1时钟与数据储存器4 5.2电源供电电路4 5.3键盘、显示与红外接收电路4 六.软件设计5 七.程序清单6

7.1初始化程序6 7.2键值处理程序7 7.3读写93C46程序10 7.4中断程序12 7.5显示器驱动程序13 7.6显示程序13 结束语15 致15 参考文献16

自动打铃器设计 摘要:为方便人们的日常生活,优化学校,机关等单位的计时系统,采用以单片机为基础设计了一种的自动打铃器。本电路有电源,显示电路,按键控制电路,功放电路四部分组成。这次设计利用了单片机AT89C51的定时和计数功能,来完成时间的计时,校时功能。用LM12864液晶显示时,分,秒。选用蜂窝器模拟现实的电铃实现打铃,其中计时和定时功能是软件为主,硬件为辅。而校时功能是软件为辅,由外部按键控制,实现加一与减一的操作。用单片机控制的自动打铃器,充分发挥单片机体积小,价格便宜,功耗低可靠性好等特点。可用于学校作息,方便了广大师生。 关键词:自动打铃器AT89C51 LM12864液晶单片机

单片机设计电子打铃器

单片机课程设计 目录 摘要 (1) 引言 0 第一章设计简介及方案论述 (1) 第二章设计思路与方案 (1) 2.1单片机总体设计思路 (1) 2.2各功能模块程序实现原理分析 (2) 2.3 AT89C51单片机性能介绍 (2) 第三章电子打铃系统硬件设计 (5) 3.1系统主要硬件电路 (5) 3.3蜂鸣器驱动模块的硬件设计 (7) 第四章系统软件设计 (7) 4.1系统软件设计的主要内容 (8) 4.2主程序流程设计 (8) 第五章系统调试与测试结果分析 (10) 5.1系统调试 (10) 5.2调试现象及分析 (10) 5.3仿真结果 (10) 第六章、心得体会 (12) 参考文献: (12) 附录: (13)

单片机课程设计 摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89C51单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT98C51单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89C51;数码管; LED

单片机课程设计 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效的方法就是理论与实践并重,本文用AT89C51单片机设计的一个电子打铃系统。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统院系:电气与信息工程系 专业: 应用电子 班级: 电子092班 指导教师: 设计时间: 2010年11月05 日

摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89S52单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT89S52单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89S52;数码管; LED 目录 引言 (1) 第一章设计简介及方案论述 (1) 1.1 作息时间控制钟系统概述 (1) 1.2 本设计任务和主要内容 (1) 第二章系统硬件电路设计 (2) 2.1单片机总体设计思路 (2) 2.2 各功能模块程序实现原理分析 (2) 2.21 七段式数码管驱动模块 (2) 2.22 蜂鸣器驱动模块 (2) 2.23 按钮控制模块 (3) 2.3系统主要硬件电路 (5) 2.31 七段式数码管驱动模块的硬件设计 (6) 2.32 蜂鸣器驱动模块的硬件设计 (7) 第三章系统软件设计 (8)

3.1 系统软件设计的主要内容..........................................................................................83.2 系统软件设计的流程图 (8) 第四章系统调试与测试结果分析 (10) 4.1 系统调试 (10) 4.11 软件调试 (10) 4.12 硬件调试 (10) 第五章附录及参考文献 (12) 5.1 汇编程序清单 (12) 5.2 器材仪表 (34) 5.3参考资料 (34) 第六章设计总结 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握 单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用 领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子 系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子 系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域, 传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控 制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能 控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学

基于单片机的定时打铃设计资料

本科毕业设计(论文)题目基于单片机的时控打铃设计 专业班级 学号 学生姓名 指导教师 设计所在单位

基于单片机的时控打铃设计 摘要:随着家用电器的越来越普及和人们生活节奏的加快,人们对电器的依赖性进一步提高,对电器的定时需求也进一步增大。定时开关打铃可用于工厂、学校等需要定时打铃的场合。定时开关打铃的使用能够做到节能、安全、方便等。本文介绍了一种基于STC89C52RC单片机的定时开关打铃设计方案。 本文首先介绍定时开关打铃设计要实现的功能,接着阐述系统电路的设计及原理说明,包括设计方案选择、关键元器件介绍、电路设计说明(包括电源电路、键盘、单片机控制电路、液晶1602显示、蜂鸣器工作电路)软件设计流程以及系统的测试。最后总结了定时开关打铃设计完成的任务,分析系统的不足并提出了系统的应用展望。 关键词:STC89C52RC;定时打铃;1602液晶;键盘

Design of Control Outlet Based on SCM Abstract: with the increasing popularity of household appliances and people life rhythm speeding up, people for the dependence of the electrical appliances to further improve, demand for electric timing also increases further. Time switch ringing the bell can be used in factories, schools and so on need to regular the occasion of ringing the bell. Time switch the use of ringing the bell can achieve energy saving, safety, convenience, etc. This paper introduces a kind of based on STC89C52RC single-chip microcomputer timer switch design of ringing the bell. This paper introduces the time switch design in order to realize the function of ringing the bell, and then expounds design and principle of the circuit system, including design scheme selection, key components, circuit design specificSTCion (including power circuit, keyboard, 1602 single chip microcomputer control circuit, liquid crystal display, relay circuit) software design process, and system test. Finally summarizes the time switch ringing the bell design task, the shortcoming of the system and put forward the applicSTCion prospect of the system. Key words:STC89C52RC,Timer switch,LCD 1602,Keyboard

相关文档
最新文档