基于单片机的自动打铃系统1

基于单片机的自动打铃系统1
基于单片机的自动打铃系统1

课程设计(论文)

题目名称基于单片机的自动打铃系统

课程名称单片机原理及应用

学生姓名712

学号

系、专业物电学院

指导教师

2010年6月28 日

摘要

本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89C51单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT98C51单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。

关键词:AT89C51;数码管; LED

目录

引言 (1)

第一章设计简介及方案论述 (1)

1.1 作息时间控制钟系统概述 (1)

1.2 本设计任务和主要内容 (1)

第二章系统硬件电路设计 (2)

2.1单片机总体设计思路 (2)

2.2各功能模块程序实现原理分析 (2)

2.21七段式数码管驱动模块 (2)

2.22蜂鸣器驱动模块 (2)

2.23按钮控制模块 (3)

2.3系统主要硬件电路 (5)

2.31七段式数码管驱动模块的硬件设计 (6)

2.32蜂鸣器驱动模块的硬件设计 (7)

第三章系统软件设计 (8)

3.1 系统软件设计的主要内容 (8)

3.2 系统软件设计的流程图 (8)

第四章系统调试与测试结果分析 (10)

4.1 系统调试 (10)

4.11硬件调试 (10)

4.12软件调试 (10)

4.13硬件软件联机 (10)

4.2仿真结果 (10)

第五章附录及参考文献 (12)

5.1汇编程序清单 (12)

5.2器材仪表 (34)

5.3参考资料 (34)

引言

本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。

当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效的方法就是理论与实践并重,本文用AT89C51单片机设计的一个自动打铃系统。

第一章设计简介及方案论述

1.1作息时间控制钟系统概述:

本设计是一个具有报时功能的作息时间控制钟。它利用89C51单片机的2Hz时基计时,进行年历计算,并用的蜂鸣器驱动模块将它报出来;在进行时间计算,分每加一时,都与规定的作息时间比较,如果相等则进行相应的控制或动作。由七段显示驱动模块、蜂鸣器驱动模块和按钮控制模块三部分组成,四个按键用于报时及校正时间。现代机关企业,特别是学校要求对时间加以控制,要按时打铃及播放广播,以保证学习与工作的正常运行。本设计实现了这些功能,给学校及其他机关企业带来方便,整体性好,人性化强、可靠性高,实现了对时间控制的智能化。

1.2本设计任务和主要内容:

(1)设计任务

用可编程器件为主体,设计并制作一台自动打铃系统。要求完成的作品必须固化软件,测试检查时上电即可工作,不允再用计算机下载。实现能够显示当前的时间,同时能够在规定的时间点控制打铃装置打出预期的铃声。另外增设四个按钮,通过分配以实现对时间的调整,包括对时钟、分钟的增加和减少,秒钟的清零;以及强制打铃和关闭打铃。

(2)主要内容

1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。

2、能设置当前时间(含上、下午,时,分)

3、能实现基本打铃功能,规定:

上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。

第二章 系统主要硬件电路设计

2.1单片机总体设计思路

(1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD 或LED 的显示屏;

(2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒;

(3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。

2.2各功能模块程序实现原理分析

AT89C51七段式数码管

铃声装置

按钮控制

图2-1

模块组成框图如图2-1所示,该模块由蜂鸣器驱动模块、蜂鸣器驱动模块和按钮控制模块三部分组成。且三部分都通过AT89C51来实现。 2.21七段式数码管驱动模块

采用动态扫描方式,通过一组单片机端口驱动并联在一起的LED 发光管的一端(共阴或共阳端),LED 发光管的另一脚接通用I/O 口,控制其亮灭。该方法能驱动较多的LED ,控制方式较灵活,而且节省单片机的资源。 2.22蜂鸣器驱动模块

采用压电式蜂鸣器,压电式蜂鸣器主要由多谐振荡器、压电蜂鸣片、阻抗匹配器及共鸣箱、外壳等组成。多谐振荡器由晶体管或集成电路构成,当接通电源后(1.5-15V 直流工作电压),多谐振荡器起振,输出1.5~2.5kHZ的音频信号,阻抗匹配器推动压电蜂鸣片发声。

2.23按钮控制模块

四个按钮的一端分别接地,另一端接单片机一个端口的四个引脚,当某一个按钮按下的时候,其对应的引脚就由高电平变成低电平,然后通过单片机扫描读取引脚的电平来判断按钮是否按下。

2.3AT89C51单片机性能介绍

AT89C51是一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

引脚说明:

VCC:供电电压。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写

时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。P3口也可作为AT89C51的一些特殊功能口,如下表所示:

口管脚备选功能

P3.0 RXD(串行输入口)P3.1 TXD(串行输出口)P3.2 /INT0(外部中断0)

P3.3 /INT1(外部中断1)P3.4 T0(记时器0外部输入)P3.5 T1(记时器1外部输入)P3.6 /WR(外部数据存储器写选通)P3.7 /RD(外部数据存储器读选通)

P3口同时为闪烁编程和编程校验接收一些控制信号。89C51各部分引脚图如下:

P1.0 1 40 Vcc

P1.1 2 39 P0.0

P1.2 3 38 P0.1

P1.3 4 37 P0.2

P1.4 5 36 P0.3

P1.5 6 35 P0.4

P1.6 7 34 P0.5

P1.7 8 33 P0.6

RST/Vpd 9 32 P0.7

RXD P3.0 10 31 EA/Vpp

TXD P3.1 11 30 ALE/-P

INT0 P3.2 12 29 PSEN

INT1 P3.3 13 28 P2.7

T0 P3.4 14 27 P2.6

T1 P3.5 15 26 P2.5

WR P3.6 16 25 P2.4

RD P3.7 17 24 P2.3

X2 18 23 P2.2

X1 19 22 P2.1

GND 20 21 P2.0

图2-2 89C51引脚图

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时,ALE只有在执行MOVX,MOVC 指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE 禁止,置位无效。为使该模块化自动打铃系统具有更加方便和灵活性,我们对系统的硬件做了精心设计。硬件电路包括七段式数码管驱动模块、蜂鸣器驱动模块、按钮控制模块等三大模块。

2.3系统主要硬件电路

系统主要硬件电路作为驱动整个打铃系统的电路又分为七段数码显示电路和蜂鸣

器驱动电路。

由显示七段数码显示电路和蜂鸣器驱动电路组成的系统硬件主要电路如下:

图2-3:系统主要硬件电路

该系统通过按钮控制(系统使用4只按键,3只按键用来调整时间,另一只为强制打铃按钮;调整选择键SET_KEY :P1.0通过选择键选择调整位,选中位闪烁;增加键ADD_KEY :P1.1按一次使选中位加1;减少键DEC_KEY :P1.2按一次使选中位减1;如果长按ADD_KEY 或DEC_KEY ,识别后则进行调时快进,此时停止闪烁)AT89C51的计时和定时,在七段数码管上显示出来(实现24小时制电子钟,8位数码管显示,显示时分秒),再通过蜂鸣器 (BEEP :P3.7)来实现打铃。 2.31七段式数码管驱动模块的硬件设计

LED 数码管显示器内部有七个条形发光二极管和一个小圆点发光二极管组成,其结果图如下所示:

图2-4:七段数码显示管

因而它的控制原理和发光二极管的控制原理是相同的。根据各管接线的形式,可分成共阴极型和共阳极型。其八个接口对应的字形如下表:

表一:数码管字型码表

显示字形

dp g f e d c b a 共阴极字型码

共阳极字型码

0 0 0 1 1 1 1 1 1 0x3F 0xC0 1 0 0 0 0 0 1 1 0 0x06 0xF9 2 0 1 0 1 1 0 1 1 0x5B 0xA4 3 0 1 0 0 1 1 1 1 0x4F 0xB0 4 0 1 1 0 0 1 1 0 0x66 0x99 5 0 1 1 0 1 1 0 1 0x6D 0x92 6 0 1 1 1 1 1 0 1 0x7D 0x82 7 0 0 0 0 0 1 1 1 0x07 0xF8 8 0 1 1 1 1 1 1 1 0x7F 0x80 9 0 1 1 0 1 1 1 1 0x6F 0x90 A 0 1 1 1 0 1 1 1 0x77 0x88 B

1

1

1

1

1

0x7C

0x83

A

B C D E F G

com

C 0 0 1 1 1 0 0 1 0x39 0xC6

D 0 1 0 1 1 1 1 0 0x5

E 0xA1

E 0 1 1 1 1 0 0 1 0x79 0x86

F 0 1 1 1 0 0 0 1 0x71 0x8E

3.2.3蜂鸣器驱动模块的硬件设计

本设计中的蜂鸣器驱动模块用到了蜂鸣器(SPEAKER)、三极管、100欧姆的电阻。将蜂鸣器的一段接地,另一端接三极管的发射极,三极管的基极通过100欧姆的电阻接在三极管的P3.7引脚,三极管的集电极接+5V的电源。其电路图如下图所示:

图2-5:蜂鸣器驱动电路图

第三章系统软件设计

软件是该LED显示屏控制系统的重要组成部分,在系统的软件设计中我们也才用了模块化设计,将系统的各部分功能编写成子模块的形式,这样增强了系统软件的可读性和可移植性。

3.1系统软件设计的主要内容

系统软件设计由三个模块编程组成:蜂鸣器打铃编程,七段显示管显示编程,按键编程。

3.2主程序流程设计

主程序初始化,并打开中断,然后执行中断服务程序。实现24小时制电子钟,8位数码管显示,显示时分秒显示格式:23-59-59(小时十位如果为0则不显示)到预定时间启动蜂鸣器模拟打铃,蜂鸣器BEEP:P3.7打铃方式分起床、熄灯铃和上、下课铃两种系统使用4只按键,3只按键用来调整时间,另一只为强制打铃按钮调整选择键SET_KEY:P1.0;通过选择键选择调整位,选中位闪烁增加键ADD_KEY:P1.1;按一次使选中位加1减少键DEC_KEY;P1.2;按一次使选中位减1,如果长按ADD_KEY或DEC_KEY,识别后则进行调时快进,此时停止闪烁。

主程序流程设计图:

开始初始化

是否正常走时?

打铃时间比较打铃判断,执行

显示按键检测

有键按下吗?

获取键值按键处理

Y

N

Y

N

图3.1

如图所示主程序开始初始化后,就跳转到中断服务程序,如正常走时,则往下进行打铃时间的比较,继续向下执行对打铃的判断程序;如不正常走时,则直接转到显示程序。这以后,继续执行按键的检测,若有键按下,则取值打铃;反之,则返回到中断服务程序的开始继续执行。

主程序清单见附录。

第四节 系统调试与测试结果分析

4.1系统调试

根据系统设计方案,本系统的调试共分为三大部分:硬件调试,软件调试和软硬件联调。由于在系统设计中采用模块设计法,所以方便对各电路模块功能进行逐级测试:LED 驱动模块的调试,数据存储模块的调试,PC 机通信模块的调试等,最后将各模块组合后进行整体测试。 4.11硬件调试

对各个模块的功能进行调试,主要调试各模块能否实现指定的功能。 4.12软件调试

软件调试采用单片机仿真器WA VE6000L 及微机,将编好的程序进行调试,主要是检查语法错误。 4.13硬件软件联调

将调试好的硬件和软件进行联调,主要调试系统的实现功能。

4.2仿真结果

此系统的仿真效果很好,能实现设计要求和目的所规定的内容。下面是两幅仿真结果图:

L1L2L3L4L5L6L7L8

L 7L 6L 4

L 3L 1L 2L 5L 8

S1S2S3S4

S1S3

S4

S2

XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01

P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

23456789

1

RP1

RESPACK-8

R1

10k

C1

30PF

C2

10uF

X1

CRYSTAL

C3

30PF

LS1

SPEAKER

Q1

BU208

R2

100

R310k R410k R510k R6

10k

图4-1

L1L2L3L4L5L6L7L8

L 7L 6L 4

L 3L 1L 2L 5L 8

S1S2S3S4

S1S3

S4

S2

XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01

P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

23456789

1

RP1

RESPACK-8

R1

10k

C1

30PF

C2

10uF

X1

CRYSTAL

C3

30PF

LS1

SPEAKER

Q1

BU208

R2

100

R310k R410k R510k R6

10k

图4-2

附录:

5.1汇编程序清单:

BEEP EQU P3.7 ;定义蜂鸣器(电铃)控制信号输出口

ORG 0000H ;程序入口地址

LJMP START

ORG 000BH ;定时器0中断入口地址

LJMP TIMER_0

ORG 0100H

;/*****程序开始,初始化*****/

START:

SETB BEEP ;关闭蜂鸣器(电铃)

SETB 48H;使用一个bit位用于调时闪烁标志

SETB 47H;使用一个bit位用于产生脉冲用于调时快进时基

CLR 45H ;关闭响铃方式1标志

CLR 44H;关闭响铃方式2标志

MOV R1,#0;调整选择键功能标志:0正常走时、1调时、2调分、3调秒MOV 20H,#00H;用于控制秒基准时钟源的产生

MOV 21H,#00H;清零秒寄存器

MOV 22H,#00H;清零分寄存器

MOV 23H,#00H;清零时寄存器

MOV 24H,#00H;用于控制调时闪烁的基准时钟的产生

MOV R2,#00H ;强制打铃标志

MOV R3,#00H;强制打铃时长标志

MOV IP,#02H;IP,IE初始化

MOV IE,#82H

MOV TMOD,#01H;设定定时器0工作方式1

MOV TH0,#3CH

MOV TL0,#0B0H;赋定时初值,定时50ms

SETB TR0;启动定时器0

MOV SP,#40H;重设堆栈指针

;/*****主程序*****/

MAIN:

CJNE R1,#00H,MAIN1 ;是否为正常走时状态

LCALL BIJIAO1 ;调用起床、熄灯打铃比较子程序

LCALL BIJIAO2 ;调用上、下课打铃比较子程序

LCALL DALING1;调用响铃方式1执行子程序

LCALL DALING2;调用响铃方式2执行子程序

LCALL DALING3

MAIN1:

LCALL DISPLAY ;调用显示子程序

LCALL KEY_SCAN;调用按键检测子程序

JZ MAIN;无键按下则返回重新循环

LCALL SET_KEY;调用选择键处理子程序

JB 46H,MAIN;如果已进行长按调整(调时快进),则不再执行下面的单步调整LCALL ADD_KEY;调用增加键处理子程序

LCALL DEC_KEY ;调用减少键处理子程序

LCALL DALING_KEY ;处理强制打铃/强制关闭铃声键

LJMP MAIN;重新循环

;/*****定时中断服务程序*****/

TIMER_0:

PUSH ACC

PUSH PSW ;保护现场

MOV TH0,#3CH

MOV TL0,#0B0H;重新赋定时初值

CPL 47H ;产生脉冲用于调时快进时基

INC 24H

MOV A,24H

CJNE A,#10,ADD_TIME ;产生0.5秒基准时钟,用于调时闪烁

CPL 48H ;取反调时闪烁标志位

MOV 24H,#00H

ADD_TIME:

INC 20H

MOV A,20H

CJNE A,#20,RETI1 ;产生1秒基准时钟MOV 20H,#00H ;一秒钟时间到,清零20H CJNE R2,#01H,ADD_M

INC R3

ADD_M:

MOV A,21H

ADD A,#01H

DA A ;作十进制调整

MOV 21H,A

CJNE A,#60H,RETI1

MOV 21H,#00H ;一分钟到

MOV A,22H

ADD A,#01H

DA A

MOV 22H,A

CJNE A,#60H,RETI1

MOV 22H,#00H ;一小时到

MOV A,23H

ADD A,#01H

DA A

MOV 23H,A

CJNE A,#24H,RETI1

MOV 23H,#00H ;到24点,清零小时

RETI1:

POP PSW

POP ACC ;恢复现场

RETI ;中断返回

;/*****显示处理*****/

DISPLAY:

MOV A,21H ;秒

ANL A,#0FH

MOV 2FH,A ;转换出秒个位,存入2FH

MOV A,21H

ANL A,#0F0H

SW AP A

MOV 2EH,A ;转换出秒十位,存入2EH

JB 46H,MIN ;如果长按按键(调时快进),则跳过闪烁处理CJNE R1,#3,MIN ;如果R1为3,闪烁秒位待调整

JB 48H,MIN

MOV 2FH,#0AH ;使该位为10,查表得到使该位不显示的输出MOV 2EH,#0AH

MIN:

MOV A,22H ;分

ANL A,#0FH

MOV 2DH,A ;转换出分个位,存入2DH

MOV A,22H

ANL A,#0F0H

SW AP A

MOV 2CH,A ;转换出分十位,存入2CH

JB 46H,HOUR ;如果长按按键(调时快进),则跳过闪烁处理CJNE R1,#2,HOUR ;如果R1为2,闪烁分位待调整

JB 48H,HOUR

MOV 2DH,#0AH ;使该位为10,查表得到使该位不显示的输出MOV 2CH,#0AH

HOUR:

MOV A,23H ;时

ANL A,#0FH

单片机自动打铃系统设计

自动打铃系统 ----学校上下课自动打铃设计 设计人: 要求:(1)实现上下课的打铃,并通过语音提示上下课;(2)按下开机键,显示当前年月日时间,在LCD液晶屏显示年,月,日,星期,时,分,秒,年-月-日-星期显示在第一行,格式xx-xx-xx-星期x;时分秒显示在第二行,格式xx-xx-xx(24小时格式); (3)能够设置当前时间; (4)使用语音芯片提示上下课,上课时提示:“亲爱的同学们,

上课了”,重复2遍,下课时提示:“亲爱的同学们,下课了“,重复2遍。 (5)允许使用时钟芯片。 《摘要》 单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

数电自动打铃器课程设计

数字电子技术课程设计题目自动打铃器 姓名:___ XXXXXX ___ 所在学院:工学院 所学专业:_ 电气工程及其自动化 班级___ 电气工程XXXX 学号___ XXXXXXXXXXXXX 指导教师:_____ XXXXXX_ ___ 完成时间:____ 2XXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级: 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人的设计方法和经验。但每个学生必须单独完成设计任务,要有完整的设计资料,独立撰写设计报告,设计报告雷同率超过50%的课程设计考核按不及格处理。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统 这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。 设计一台自动打铃系统 一、设计任务 用单片机器件为主体,设计一台自动打铃系统。 (1)按照设计标准,画出系统框图和系统硬件电路图。 (2)完成该课题的程序设计,提交程序设计框图及程序设计清单。 (3)提交课程设计报告 二、设计要求 (一)基本要求 (1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。 (2)能设置当前时间(含上、下午,时,分) (3)能实现基本打铃功能,规定: 上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。 下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。 铃声可用小喇叭播放,凡是用到铃声功能的均按此处理 (二)发挥部分 (1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 (2)增加调整起床铃、熄灯铃时间的功能。 (3)增设上午4节课的上下课打铃功能,规定如下: 7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 (4)特色和创新自选。 三、设计步骤 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏; (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒; (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。 四、课程设计说明书要求

自动打铃器设计肖成华

FPIT-R-JX11-2303-40 信息职业技术学院成人高等教育 毕业论文(设计) 题目自动打铃器设计 姓名肖成华 学号12412215162 年级与专业2012机电一体化

指导教师圣养 毕业论文(设计)任务书 题目:自动打铃器设计 一、指导教师对毕业论文(设计)的进度安排及任务要求: 任务:1、应查阅参考文献5篇以上 2、毕业设计提纲(选题意义、论文框架结构) 3、毕业设计论文(目录、标题、论文摘要、开题报告及关键字、正文) 进度安排: 2014年5月选题 2014年6月-2014年7月完成毕业论文提纲及文献综述、系统设计文案。 2014年6月-2014年8月完成毕业论文初稿及系统设计 2014年9月-2014年10月完成毕业论文及系统调试

起讫日期2014年5月1日至2014年10月30日 指导教师(签名)圣养职称讲师 目录 摘要1 一.绪论2 二. 自动打铃器的基本原理2 三. 设计方案2 四.设计原理分析3 4.1校时模式3 4.2定时模式3 4.3工作模式3 4.4储存器93C46的相关介绍3 五.硬件设计4 5.1时钟与数据储存器4 5.2电源供电电路4 5.3键盘、显示与红外接收电路4 六.软件设计5 七.程序清单6

7.1初始化程序6 7.2键值处理程序7 7.3读写93C46程序10 7.4中断程序12 7.5显示器驱动程序13 7.6显示程序13 结束语15 致15 参考文献16

自动打铃器设计 摘要:为方便人们的日常生活,优化学校,机关等单位的计时系统,采用以单片机为基础设计了一种的自动打铃器。本电路有电源,显示电路,按键控制电路,功放电路四部分组成。这次设计利用了单片机AT89C51的定时和计数功能,来完成时间的计时,校时功能。用LM12864液晶显示时,分,秒。选用蜂窝器模拟现实的电铃实现打铃,其中计时和定时功能是软件为主,硬件为辅。而校时功能是软件为辅,由外部按键控制,实现加一与减一的操作。用单片机控制的自动打铃器,充分发挥单片机体积小,价格便宜,功耗低可靠性好等特点。可用于学校作息,方便了广大师生。 关键词:自动打铃器AT89C51 LM12864液晶单片机

基于51单片机的自动打铃系统

机电信息工程学院 单片机系统课程设计报告 系:电子信息工程系 专业:电子信息工程 班级:072班 设计题目:自动打铃系统设计 学生姓名:张锡斌仇龙佳 指导教师:刘忠富于为民 完成日期:2010年5月31日

目录 一、设计任务和性能指标 (2) 1.1设计任务 (2) 1.2性能指标 (2) 二、设计方案 (2) 三、系统硬件设置 (3) 3.1、单片机最小系统 (3) 3.2时钟电路DS1302 (4) 3.3、显示电路的设计 (5) 3.4、键盘接口的设计 (5) 3.5打铃电路的设计 (6) 四、系统软件设计 (7) 4.1程序流程图 (7) 4.2主程序设计 (10) 4.3显示子程序的设计 (11) 五、调试及性能分析 (12) 5.1调试步骤 (12) 5.2性能分析 (12) 六、心得体会 (12) 参考文献 (13) 附录1 系统硬件电路图 (14) 附录2 程序清单 (15)

一、设计任务和性能指标 1.1设计任务 用单片机器件为主体,设计一台自动打铃系统。 (一)基本要求 1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数 字显示,秒信号指示。 2、能设置当前时间(含上、下午,时,分)。 3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、 再打铃5秒。下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。 (二)发挥部分 1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 2、增加调整起床铃、熄灯铃时间的功能。 3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下 课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 4、特色和创新自选。 1.2性能指标 1.时钟:上下午(1位)、时(2位) 、分(2位) 2.校对键:确认键/设置键、右移键/灭铃键、加键、减键 3.响铃:蜂鸣器二.设计方案 二、设计方案 按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。电路系统构成框图如图1.1所示。主控芯片使用51系列AT89C52单片机,采用高性能的静态80C51设计,由先进工艺制造,并带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位COMS 微处理芯片,市场应用最多。 时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。采用DS1302作为主要计时芯片、可以做到计时准确。更重要的是,DS1302可以在很小的电流的后备电源(2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流对后备电源进行慢速充电,可以保证后备电源基本不耗电。采用串行数据传输,与单片机硬件连接简单,如果使用时钟芯片DS12887,将采用并行数据传输,占用更多的硬件资源。因此为节省单片机端口,时钟芯片采用DS1302。

根据FPGA的自动打铃系统的设计与实现

自动打铃系统设计说明书 学生姓名:罗衡 学号:14092500060 专业班级:电子09-2BF 报告提交日期:2011-11-28 湖南理工学院物电学院

目录 一、题目及要求简介 (1) 1.设计题目 (1) 2.总体要求简介 (1) 二、设计方案说明 (1) 三、各部分功能介绍及程序 (2) 1.系统框图 (2) 2.选择的FPGA芯片及配置 (2) 3.各模块(元件)说明 (2) 四、仿真结果 (4) 1.计时进位 (4) 2.手动校时 (5) 3.六点整闹铃 (5) 五、说明 (5) 1.输入激励信号说明 (5) 2.输出结果说明 (6) 六、源程序 (6) 1.顶层模块 (6) 2.模式控制子模块 (7) 3.计时及调整子模块 (8) 4.闹铃及调整子模块 (10) 5.显示子模块 (11) 七、参考文献 (14)

一、设计题目及要求简介 1.设计题目 基于FPGA 的自动打铃系统的设计与实现 2.总体要求简介 (1)基本计时和显示功能 ① 24小时制显示 ② 动态扫描显示 ③ 显示格式:88-88-88 (2)能设置当前时间(含时、分) (3)能实现基本打铃功能,上午06:00起床铃,打铃5秒 二、设计方案说明 本系统采用自顶向下的模块化设计方法,将数字闹钟按照功能实现分为模式控制模块、计时及调整模块、闹铃及调整模块、显示模块。系统调整部分软件控制流程示意图如图2-1所示。 图2-1 ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ 开始 mode 计时功能 turn change 闹铃功能 调整小时 调整分钟 返回计时 LD_hour 亮 LD_min 亮 校时功能 调整小时 调整分钟 返回计时 LD_alert 亮 → → → ? ? → 切换 切换 ← 0 1 2

单片机自动控制打铃系统设计

单片机自动控制打铃系统,是以一片8位单片机为核心的实时时钟及控制系统.我们知道单片机的外接石英晶体震荡器能提供稳定、准确的基准频率,并经12分频后向内部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得到秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息.如果石英晶体震荡器的频率信号为6MHZ,设定定时器定时器工作在方式1下,定时器为3CB0H,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数到60,则向时计数器进位,如此周而复始的连续技术,便可获得时、分、秒的信号,建立一个实时时钟.接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求. 2.2 ISP下载电缆的电路及程序设计简介 能实现ISP功能的硬件电路,通常被称为“下载电缆”。ATMEL公司推出的AT89S51/52单片机就具有ISP功能。用计算机并行口实现ISP功能,在电路设计上非常灵活。(1)下载电缆的电路设计: 计算机并行接口共有25个口线,主要包括数据端口D0~D7(端口地址为378H,用于数据输出);状态端口Busy、nAck、PE、Select、nError(端口地址为379H,用于数据输入);控制端口nSelin、nlnit、nStrobe(端口地址为37AH,用于输出控制)。从中选出4个口线来模拟ISP所需的引脚,就非常灵活,只需考虑数据的输入、输出方向及操作方便即可。但要注意同一端口的数据方向必须一致,例如数据端口是8位同时操作的,只能全部作为输入或输出,而不能将一部分做输入,另一部分做输出。 下载电缆的电路如图附件一所示。该电路主要包括并行接口电路、驱动隔离电路和JTAG接口电路3大部分,这里只所以说JTAG接口电路,是因为ISP传输虽然在协议上符合SPI协议,但引脚是按照JTAG标准而定义的,它们的对应关系如下:TCK对应SCK,D0对应MOSI,TMS对应RST,TDO对应MISO。 (2)并行接口电路 该电路是按计算机并行口标准定义的,在电路中采用nStrobe模拟TCK,用D0模拟TDI,用nSelin模拟TMS。用nACK模拟TDO。这样的定义方法就决定了TCK时钟和TMS的产生要由并行口的控制端口产生;TDI由并行口的数据端口产生,TDO要由状态端口获取,不同的端口操作地址不同,涉及程序的编写。这些对应关系见表:

毕业设计--单片机自动打铃系统论文

摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候都需要对时间进行规划,然后到时间点就要有时间提醒,这就必须用到时钟提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃装置,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上下课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排,同时,也可作为一个提醒学生们作息时间的时间表,让老师和学生都能有一个规律和科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以AT89S51单片机为控制器,以DS1307时钟芯片为系统提供时间,并在液晶显示器上显示,通过按键可以设定定时打铃时间和打铃的时间间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。本文提出的设计方法电路简单、成本低廉、实用性强。 关键字:打铃器、AT89S51单片机、DS1307、液晶显示器

Abstract Now fast-paced life, the time more and more demanding, often need time to plan and then to the point in time there should be reminded, which must be used to clock reminder can also be calledrang the bell device. Rang the bell device are many, such as the phone rang the bell system, mechanical bell device of the alarm clock, radio bell systems, etc., but in daily life appear or campus automatic bell system. In school life, the last class of the day are inseparable from the bell system. The bell can provide time for the last class of students and teachers to remind conducive to a reasonable arrangement of the teachers and students to school and learning, but also as a reminder of the schedule of the students schedule, so that teachers and students cana law and scientific timing. Therefore, the core part is the clock part of the bell system, the system provides a time reference. This design is mainly for the applicable requirements of the campus bell system, introduced a microcontroller-based automatic bell system design method, the system controller is AT89S51 SCM , the DS1307 clock chip provide the system with time, and the LCD displayed on the monitor button can set the time interval of the timer rang the bell time and rang the bell. System software design using C language, C language syntax is simple, easy to use, very convenient to be used to complete the software design. This paper presents the design circuit is simple, low cost, and practical. Key words: Rang the bell AT89S51 SCM the DS1307 LCD monitors

自动打铃控制器

课程设计 课程单片机原理及应用课程设计 题目自动打铃控制器 院系电子科学学院 专业班级 学生姓名 学生学号 指导教师 2011年3月 18 日 目录

1引言 (2) 2设计要求 (3) 2.1总体设计思想 (3) 3.硬件电路设计思想 (3) 3.1PCB图 (5) 4.程序流程图 (5) 5.程序清单 (6) 6元器件明细表 (26) 7.调试过程 (27) 8.参考文献 (27)

1引言 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影!它主要是作为控制部分的核心部件。 可以说,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如产品未使用单片机或其它可编程逻辑器件上智能控制、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。今天我利用单片机控制学校的打铃系统,下面是我的设计思路

基于单片机的校园打铃系统设计方案

基于单片机的校园打铃系统设计方案 第1章绪论 校园打铃系统就是利用现代计算机、通讯等技术,以传统的铃声系统为基础,根据用户对铃声系统功能的要求,由单片机来控制、管理、播放的系统。 通过把播放的容以数字形式存放在存储器中,然后单片机通过控制软件,按照学校设定的播放时间和容控制单片机自动将存储器中的数字音乐文件播放出来。铃声控制系统整体由两部分组成:主控中心和终端电铃。主控中心以单片机为核心,包括控制电路、显示电路、键盘电路和存储电路。终端电铃为响应控制设备,通过其自身的控制系统可以获得清晰、响亮的声响。 单片机在电子产品中的应用已经越来越广泛,并且在很多电子产品中也将其用到校园铃声和广播控制。单片机又称单片微控制器,是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词—“智能型”,如智能型洗衣机等。 本次设计是实现一个单片机的校园打铃系统,能过设置打铃时间,同时要求能够在系统掉电时,时间能够继续,数据能够保持,能够实现打铃。

第2章方案设计与论证 2.1 系统方案选择与比较 2.1.1 控制模块方案选择 校园打铃系统设计方案有多种,下面提出两种电路方案。 方案一:主要是由石英晶体振荡电路和分频器电路组成的脉冲发生器、校时电路、报时电路以及时、分、秒计数器和译码显示电路等电路组成,其中采用计数器74LS290、译码器74LS49、分频器和八段数码管显示器等器件组成的校园打铃系统,整个系统有控制简单,调试容易等优点,但是其显示功能单一、电路复杂。其组成方框图如下2-1所示: 图2-1 方案一组成方框图 方案二:采用ATC公司的单片机ATC89C51作为控制器。单片机运算能力强,软件编程灵活,自由度大。它是MCS-51系列单片机的派生产品,在指令系统、硬件结构和片资源上与标准8051单片机完全兼容,使用时容易掌握;采用ATC89S52单片机稳定可靠、应用广泛、通用性强。

基于51单片机的自动打铃机设计

单片机系统课程设计 课程设计名称:自动打铃器设计 专业班级:XXXX 学生姓名:XXXX 学号:XXXXXXXX 指导教师:XXX 课程设计地点:XXXX 课程设计时间:XXXXXXXXXX

单片机系统课程设计任务书学生姓名专业班 级 自动化学号 题目自动打铃器设计 课题性质工程设计课题来源老师拟定 指导老师XXX 主要内容(参数)基于89c51单片机可以实现以下功能: 1、根据自己需要设计打铃时间,到定时时间自动打铃。 2、8位LED动态显示,实现表24小时制计时和显示功能。 3、能设置当前时间 4、可以随时更改打铃时间 任务要求(进度)1、查阅有关资料,熟悉设计任务要求,确定设计方案,大概需要1——2天。 2、按照确定的方案设计单元电路,画出单元电路图,元件及元件参数的选择有依据,大概需要1——2天的时间 3、软件设计及编写程序,大概需要1——2天的时间。 4、实验室调试,需要1——2天。 5、撰写课程设计报告。要求内容完整、图表清晰、文理流畅、格式规范、方案合理设计正确。 主要参考资料[1]张迎新.单片机原理、应用及接口技术[M].北京:国防工业出版社,2004 [2]李光飞.单片机课程设计实例[M].北京:北京航天航空大学出版社,2004 [3]周润景,袁伟婷,景晓松.Proteus在MCS-51系统中的应用[M].北京:电子工业出版社,2006 [4] 邓兴成.单片机原理与实践指导.北京:机械工业出版社,2010 [5] 阎石.数字电子技术基础.北京:高等教育出版社,2009 审查意见系(教研室)主任签字:年月日

目录 1概述 (5) 1.1研究背景 (5) 1.2设计思想及基本功能 (5) 2总体设计 (5) 2.1模块设计 (5) 2.2程序流程图 (5) 3硬件单元设计 (6) 3.1电路设计总原理图 (7) 3.2各模块电路设计 (7) 3.2.1键盘扫描模块 (7) 3.2.2时钟与复位模块 (8) 3.2.3显示模块 (8) 3.2.4响铃模块 (9) 4软件设计 (9) 4.1键盘扫描程序 (9) 4.2主程序 (10) 4.3显示程序 (13) 4.4响铃程序 (14) 5 总结 (17) 6参考文献 (17) 7附录 (18)

基于单片机自动打铃系统设计

目录 第一部分设计任务 (2) 1、毕业设计的主要任务 (2) 2、单片机总体设计思路 (2) 第二部分设计说明 (3) 1、单片机介绍 (3) 2、设计说明 (3) 3、软件设计 (8) 第三部分设计成果 (12) 1、开机运行图 (12) 2、自动打铃器源程序 (12) 第四部分结束语 (15) 第五部分致谢 (18) 第六部分参考文献 (19)

第一部分设计任务 1、毕业设计的主要任务 设计一个采用4位数码管显示时间秒、分、时,伴有调时校正电路,响铃控制则是通过作息时间表和定时器来实现自动打铃的单片机控制系统。 对于不同的季节,作息时间可能不同,可以制定多个作息时间表采用开关切换达到目的。 本设计采用了1个开关实现夏季和冬季作息时间的切换,完成一个自动循环。 2、单片机总体设计思路 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏。 (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒。 (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能。 (4)设计打铃执行机构,完成自动打铃功能。

第二部分设计说明 1、单片机介绍 本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等4部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这是秒单元加1。同理,对分单有采用动态扫描LED的显示。本系统采用四个按键,当时钟时间和设置时间一直时元和时单元计数从而产生秒、分、时的值,通过六位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口作,进行打铃,每次打铃30s较复杂,考虑显示只有六位,且系统没有其他浮躁的处理程序。 2、设计说明 2.1 AT89C51简介 一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.1.2引脚说明 VCC:供电电压。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,

自动打铃系统

淮南职业技术学院 毕业设计 题目:学院自动打铃系统设计系别:煤矿机电系 专业:矿山机电二班 姓名:王灿 学号: 1003044 指导教师:刘立群

摘要 学校以及一些企事业单位通常使用电铃声作为上下课、上下班等作息时间信号。电铃已是学校以及一些企事业单位不可缺少的设备,随着社会的发展不但对其需求量越来越大,对电铃的自动控制要求也越来越高,于是人们设计了通过不同控制方式来实现的自动打铃系统。 本文介绍一种采用三菱PLC控制的作息时间自动打铃控制系统,详细地阐述了系统的组成、系统硬件接线和系统软件设计,并详细介绍了系统工作原理。该系统具有外设电路配置简单、扩展方便、操作容易,可靠性高实用性强等特点。该系统用于学校电铃的自动控制,具有周末和假期控制功能和星期与时间的显示功能,实现了作息时间无人控制的自动化、科学化管理与操作。 关键词:作息时间控制系统,PLC,I/O接线,软件设计

概述 PLC即可编程控制器(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。 PLC是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。PLC及其有关的外围设备都应该按易于与工业控制系统形成一个整体,易于扩展其功能的原则而设计。 20世纪70年代中末期,可编程控制器进入实用化发展阶段,计算机技术已全面引入可编程控制器中,使其功能发生了飞跃。更高的运算速度、 超小型体积、更可靠的工业抗干扰设计、模拟量运算、PID功能及极高的性价比奠定了它在现代工业中的地位。20世纪80年代初,可编程控制器在先进工业国家中已获得广泛应用。这个时期可编程控制器发展的特点是大规模、高速度、高性能、产品系列化。这个阶段的另一个特点是世界上生产 可编程控制器的国家日益增多,产量日益上升,这标志着可编程控制器已步入成熟阶段。 我国可编程控制器的引进、应用、研制、生产是伴随着改革开放开始的。最初是在引进设备中大量使用了可编程控制器。接下来在各种企业的生产设备及产品中不断扩大了PLC的应用。目前,我国自己已可以生产中小型可编程控制器。可以预期,随着我国现代化进程的深入,PLC在我国将有更广阔的应用天地。 所以PLC才被越来越多的人所熟悉,也被广泛的应用于自动化的生产与生活当中。而本课题就来源于学校的实际情况,包括上下课打铃程序、双休日控制程序以及控制学生宿舍开(熄)灯等自动化程序的控制,相信PLC将会更加贴近生活,服务大众。

单片机设计电子打铃器

单片机课程设计 目录 摘要 (1) 引言 0 第一章设计简介及方案论述 (1) 第二章设计思路与方案 (1) 2.1单片机总体设计思路 (1) 2.2各功能模块程序实现原理分析 (2) 2.3 AT89C51单片机性能介绍 (2) 第三章电子打铃系统硬件设计 (5) 3.1系统主要硬件电路 (5) 3.3蜂鸣器驱动模块的硬件设计 (7) 第四章系统软件设计 (7) 4.1系统软件设计的主要内容 (8) 4.2主程序流程设计 (8) 第五章系统调试与测试结果分析 (10) 5.1系统调试 (10) 5.2调试现象及分析 (10) 5.3仿真结果 (10) 第六章、心得体会 (12) 参考文献: (12) 附录: (13)

单片机课程设计 摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89C51单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT98C51单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89C51;数码管; LED

单片机课程设计 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效的方法就是理论与实践并重,本文用AT89C51单片机设计的一个电子打铃系统。

基于PLC自动打铃控制系统的设计

基于PLC自动打铃控制系统的设计 在自动控制中,用PLC可以实现校园自动打铃系统的控制设计。文章论述了自动打铃控制系统的主要软件部分的设计,重点介绍了基于S7-200 PLC控制的校园电铃自动控制装置设计。 标签:PLC;控制系统;设计 引言 可编程序控制器(Programmable Controller 英文缩写为PC后又稱PLC)是以微处理器为基础,综合了计算机技术、半导体技术和通信网络技术发展起来的一种通用工业自动控制装置。它面向控制过程、面向用户、适应工业环境、操作方便、可靠性高,成为现代工业控制的三大支柱(PLC、机器人和CAD/CAM)之一。PLC控制技术代表着当前程序控制的先进水平,PLC装置已成为自动化系统的基本装置。可编程序控制器(PLC)以其可靠性高、灵活性强、使用方便的优越性,迅速占领了工业控制领域,成为先进的、发展及应用势头最强的工业控制器。 基于PLC控制的自动打铃控制系统的设计,使用方便,程序容易修改,可靠性高,作息时间改变时,控制程序只需要稍做修改就可以实现新的控制要求。 1 控制要求分析及I/O点统计 上课铃与下课铃要能分开(铃声响的频率不一样),起床,晚自习等时间的铃声为连续打铃,每次打铃的时间为15秒;要具备时间调整功能;星期六星期天不打铃,星期一至星期五按表1所示作息时间表打铃;具有时间显示功能,要有秒、分、时和星期的显示。 因电铃功率不大,可直接由PLC驱动,故选择24V直流工作的电铃,选择的具体型号为:HRE-S90D4(24V DC)。时间调整功能可用按钮来实现,其中分钟个位,分钟十位,时钟个位,时钟十位各采用一个按钮,且均选用同一种型号,此处选择施耐德电气公司的XB2B33B1C型号按钮。 系统开关选择:选用圆形选择开关,具体型号为K22-41K两段短轴,为保护PLC不因误操作而被短路烧毁,增加一熔断器作为短路保护。熔断器型号选择为3NE-3340-8,此种熔断器广泛用于30/400V及以下电压,额定工作电流为0.5-125A作为导线和设备的故障保护。 时间显示可采用七段LED数码管。LED数码管采用共阴极接线方式,即COM端为负时数码管中相应段为亮,其中工作原理如图1所示。 数码管b、c段为高电平时,LED数码管显示数字“1”,其余5段为低电平。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统院系:电气与信息工程系 专业: 应用电子 班级: 电子092班 指导教师: 设计时间: 2010年11月05 日

摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89S52单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT89S52单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89S52;数码管; LED 目录 引言 (1) 第一章设计简介及方案论述 (1) 1.1 作息时间控制钟系统概述 (1) 1.2 本设计任务和主要内容 (1) 第二章系统硬件电路设计 (2) 2.1单片机总体设计思路 (2) 2.2 各功能模块程序实现原理分析 (2) 2.21 七段式数码管驱动模块 (2) 2.22 蜂鸣器驱动模块 (2) 2.23 按钮控制模块 (3) 2.3系统主要硬件电路 (5) 2.31 七段式数码管驱动模块的硬件设计 (6) 2.32 蜂鸣器驱动模块的硬件设计 (7) 第三章系统软件设计 (8)

3.1 系统软件设计的主要内容..........................................................................................83.2 系统软件设计的流程图 (8) 第四章系统调试与测试结果分析 (10) 4.1 系统调试 (10) 4.11 软件调试 (10) 4.12 硬件调试 (10) 第五章附录及参考文献 (12) 5.1 汇编程序清单 (12) 5.2 器材仪表 (34) 5.3参考资料 (34) 第六章设计总结 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握 单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用 领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子 系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子 系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域, 传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控 制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能 控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学

自动打铃系统设计说明书

考试序号:20 自动打铃系统设计说明书 学生姓名: 学号: 专业班级: 报告提交日期: 湖南理工学院物电学院

目录 一系统工作原理 (3) 设计要求 (3) 1、计时原理: (4) 2、模式信号mode选择各个功能显示的原理: (4) 3、时间调整中数字上加的原理: (4) 系统框图 (5) 二选择的FPGA芯片及配置 (5) 三各模块分析 (6) 设计步骤: (6) 顶层文件端口说明: (8) 时序仿三真 (9) 附录:源程序(附注释) (11)

一系统工作原理 工作原理:时钟系统由八个数码管显示组成,其中第1、2个显示的为时的高位和低位,第3个数码管显示的是分隔符“-”,第4、5个为分的高低位,第6个也为隔符“-”,第7、8个为秒的高低位。时钟工作时用到两个频率,一个是系统频率Clk,主要用来扫描数码管和分频,第二个是时钟工作频率Clk_1HZ(由Clk分频得来),按下复位键,秒分时显示清零,工作时Clk(489HZ)不断通过3-8译码器值的改变来扫描时钟的八位数码管,由于人眼分辩不出这么高的频率,所以看到的是八个数码管一直同时亮着,与此同时控制端连着七段数码管的七位不断提供秒时分的高低位译码到数码管上显示,当Clk计数到244次(500ms)时,Clk_1HZ翻转一次,这样Clk_1HZ的周期就为1s整,每个Clk_1HZ的上升沿给秒的低位加1。按调时键S1进入调时模式,按下开关时程序里设置扫描到时的高低位时不显示,同时时的低位加1,松开开关时再显示出来,以此实现闪烁的功能,调分键也是同理。 各个输入输出端口定义如下: Clk: 489HZ 的系统基准时钟输入。作为七段码管扫描频率。将其489分频可得到1HZ的数字钟工作频率。 Rst: 系统复位信号, 低电平有效。复位后显示00-00-00。S1: 调节小时信号, 低电平有效。每按下一次, 小时增加一个小时。 S2: 调节分钟信号, 低电平有效。每按下一次, 分钟增加一个分钟。 Spk: 输出到扬声器, 产生嘀、嗒的报时声。 Sel: 七段码管扫描驱动。因为是八个七段码管,所以Sel 为三位总线。扫描频率为489HZ, 由于人眼的视觉效果, 呈现在眼前的便是整体的时-分-秒显示。 Display: 七段码管显示输出。除此之外另设了几个寄存器: Clk_1HZ:1HZ 的数字钟工作频率 Clk_Count1:产生1Hz 时钟的分频计数器 Music_Count:产生扬声器声音频率的分频计数器 SECL,SECH:秒的低位和高位 MINL,MINH:分的低位和高位 HOURL,HOURH:时的低位和高位 Disp_Temp:显示存储中间量 数字时钟设计(C) 一、设计要求

相关文档
最新文档