自己设计的单片机打铃系统

自己设计的单片机打铃系统
自己设计的单片机打铃系统

设计一台自动打铃系统

一、设计任务

用单片机器件为主体,设计一台自动打铃系统。

(1)按照设计标准,画出系统框图和系统硬件电路图。

(2)完成该课题的程序设计,提交程序设计框图及程序设计清单。

(3)提交课程设计报告

二、设计要求

(一)基本要求

(1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。

(2)能设置当前时间(含上、下午,时,分)

(3)能实现基本打铃功能,规定:

上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。

下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。

铃声可用小喇叭播放,凡是用到铃声功能的均按此处理

(二)发挥部分

(1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。

(2)增加调整起床铃、熄灯铃时间的功能。

(3)增设上午4节课的上下课打铃功能,规定如下:

7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。

(4)特色和创新自选。

三、设计步骤

(1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏;

(2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒;

(3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能;

(4)设计打铃执行机构,完成自动打铃功能。

四、课程设计说明书要求

后面略......

公开我的主要设计资料:

主程序流程图:

汇编程序清单:

/***************************************************************

程序名称:51单片机自动打铃系统

简要说明:实现24小时制电子钟,8位数码管显示,显示时分秒显示格式:23-59-59(小时十位如果为0则不显示)

到预定时间启动蜂鸣器模拟打铃,蜂鸣器BEEP:P3.7

打铃方式分起床、熄灯铃和上、下课铃两种

系统使用4只按键,3只按键用来调整时间,另一只为强制打铃按钮

调整选择键SET_KEY:P1.0;通过选择键选择调整位,选中位闪烁

增加键ADD_KEY:P1.1;按一次使选中位加1

减少键DEC_KEY;P1.2;按一次使选中位减1

如果长按ADD_KEY或DEC_KEY,识别后则进行调时快进,此时停止闪烁

如果选中位是秒,则按增加键或减少键都是将秒清零

强制打铃键DALING_KEY:P1.3;用来强制打铃或强制关闭铃声

P0口输出数码管段选信号,P2口输出数码管位选信号。晶振12M

编写:https://www.360docs.net/doc/7111942961.html,

最后更新:09/1/2晚

***************************************************************/ BEEP EQU P3.7 ;定义蜂鸣器(电铃)控制信号输出口

ORG 0000H ;程序入口地址

LJMP START

ORG 000BH ;定时器0中断入口地址

LJMP TIMER_0

ORG 0300H

/*****程序开始,初始化*****/

START:

SETB BEEP ;关闭蜂鸣器(电铃)

SETB 48H ;使用一个bit位用于调时闪烁标志

SETB 47H ;使用一个bit位用于产生脉冲用于调时快进时基

CLR 45H ;关闭响铃方式1标志

CLR 44H ;关闭响铃方式2标志

MOV R1,#0 ;调整选择键功能标志:0正常走时、1调时、2调分、3调秒

MOV 20H,#00H ;用于控制秒基准时钟源的产生

MOV 21H,#00H ;清零秒寄存器

MOV 22H,#00H ;清零分寄存器

MOV 23H,#00H ;清零时寄存器

MOV 24H,#00H ;用于控制调时闪烁的基准时钟的产生

MOV IP,#02H ;IP,IE初始化

MOV IE,#82H

MOV TMOD,#01H ;设定定时器0工作方式1

MOV TH0,#3CH

MOV TL0,#0B0H ;赋定时初值,定时50ms

SETB TR0 ;启动定时器0

MOV SP,#40H ;重设堆栈指针

/*****主程序*****/

MAIN:

CJNE R1,#00H,MAIN1 ;是否为正常走时状态

LCALL BIJIAO1 ;调用起床、熄灯打铃比较子程序

LCALL BIJIAO2 ;调用上、下课打铃比较子程序

LCALL DALING1 ;调用响铃方式1执行子程序

LCALL DALING2 ;调用响铃方式2执行子程序

MAIN1:

LCALL DISPLAY ;调用显示子程序

LCALL KEY_SCAN ;调用按键检测子程序

JZ MAIN ;无键按下则返回重新循环

LCALL SET_KEY ;调用选择键处理子程序

JB 46H,MAIN ;如果已进行长按调整(调时快进),则不再执行下面的单步调整

LCALL ADD_KEY ;调用增加键处理子程序

LCALL DEC_KEY ;调用减少键处理子程序

LCALL DALING_KEY ;处理强制打铃/强制关闭铃声键

LJMP MAIN ;重新循环

/*****定时中断服务程序*****/

TIMER_0:

PUSH ACC

PUSH PSW ;保护现场

MOV TH0,#3CH

MOV TL0,#0B0H ;重新赋定时初值

CPL 47H ;产生脉冲用于调时快进时基

INC 24H

MOV A,24H

CJNE A,#10,ADD_TIME ;产生0.5秒基准时钟,用于调时闪烁

CPL 48H ;取反调时闪烁标志位

MOV 24H,#00H

ADD_TIME:

INC 20H

MOV A,20H

CJNE A,#20,RETI1 ;产生1秒基准时钟

MOV 20H,#00H ;一秒钟时间到,清零20H

MOV A,21H

ADD A,#01H

DA A ;作十进制调整

MOV 21H,A

CJNE A,#60H,RETI1

MOV 21H,#00H ;一分钟到

MOV A,22H

ADD A,#01H

DA A

MOV 22H,A

CJNE A,#60H,RETI1

MOV 22H,#00H ;一小时到

MOV A,23H

ADD A,#01H

DA A

MOV 23H,A

CJNE A,#24H,RETI1

MOV 23H,#00H ;到24点,清零小时

RETI1:

POP PSW

POP ACC ;恢复现场

RETI ;中断返回

/*****显示处理*****/

DISPLAY:

MOV A,21H ;秒

ANL A,#0FH

MOV 2FH,A ;转换出秒个位,存入2FH

MOV A,21H

ANL A,#0F0H

SWAP A

MOV 2EH,A ;转换出秒十位,存入2EH

JB 46H,MIN ;如果长按按键(调时快进),则跳过闪烁处理 CJNE R1,#3,MIN ;如果R1为3,闪烁秒位待调整

JB 48H,MIN

MOV 2FH,#0AH ;使该位为10,查表得到使该位不显示的输出 MOV 2EH,#0AH

MIN:

MOV A,22H ;分

ANL A,#0FH

MOV 2DH,A ;转换出分个位,存入2DH

MOV A,22H

ANL A,#0F0H

SWAP A

MOV 2CH,A ;转换出分十位,存入2CH

JB 46H,HOUR ;如果长按按键(调时快进),则跳过闪烁处理 CJNE R1,#2,HOUR ;如果R1为2,闪烁分位待调整

JB 48H,HOUR

MOV 2DH,#0AH ;使该位为10,查表得到使该位不显示的输出 MOV 2CH,#0AH

HOUR:

MOV A,23H ;时

ANL A,#0FH

MOV 2BH,A ;转换出时个位,存入2BH

MOV A,23H

ANL A,#0F0H

SWAP A

MOV 2AH,A ;转换出时十位,存入2AH

JB 46H,DISP ;如果长按按键(调时快进),则跳过闪烁处理 CJNE R1,#1,DISP ;如果R1为1,闪烁时位待调整

JB 48H,DISP

MOV 2BH,#0AH ;使该位为10,查表得到使该位不显示的输出 MOV 2AH,#0AH

/*****数码管动态扫描显示*****/

DISP:

MOV DPTR,#TABLE

MOV A,2FH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.7

LCALL DELAY

SETB P2.7 ;显示秒个位

MOV A,2EH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.6

LCALL DELAY

SETB P2.6 ;显示秒十位

MOV A,#0BFH

MOV P0,A

CLR P2.5

LCALL DELAY

SETB P2.5 ;显示“-”

MOV A,2DH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.4

LCALL DELAY

SETB P2.4 ;显示分个位

MOV A,2CH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.3

LCALL DELAY

SETB P2.3 ;显示分十位

MOV A,#0BFH

MOV P0,A

CLR P2.2

LCALL DELAY

SETB P2.2 ;显示“-”

MOV A,2BH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.1

LCALL DELAY

SETB P2.1 ;显示时个位

MOV DPTR,#TABLE1 ;该位使用TABLE1以消除前置0

MOV A,2AH

MOVC A,@A+DPTR

MOV P0,A

CLR P2.0

LCALL DELAY

SETB P2.0 ;显示时十位

RET

/*****按键检测子程序*****/

KEY_SCAN:

CLR 46H ;关闭长按调整(调时快进)标志

MOV P1,#0FFH ;将P1口设置成输入状态

MOV A,P1

CPL A

ANL A,#0FH ;P1口低4位连接4个按键,只判断该4位

JZ EXIT_KEY ;无键按下则返回

LCALL DELAY ;延时去抖动

MOV A,P1 ;重新判断

CPL A

ANL A,#0FH

JZ EXIT_KEY ;键盘去抖动

MOV R5,A ;临时将键值存入R5

MOV R4,#00H ;用于控制调时快进速度

;设置为00H是为了在进入长按处理前加长延时区分用户的长按与短按,防止误快进

LOOP: ;进入长按处理

LCALL DISPLAY ;使长按时显示正常

MOV A,P1

CPL A

ANL A,#0FH

JB 47H,LOOP1

INC R4 ;调时快进间隔时间基准加1

LOOP1:

CJNE R1,#03H,LOOP2 ;如果调秒时长按,则不处理

LJMP LOOP3

LOOP2:

CJNE R4,#99H,LOOP3

MOV R4,#70H ;确认用户长按后,重新设定起始值,加快调时快进速度 SETB 46H ;长按调整(调时快进)标志

LCALL ADD_KEY

LCALL DEC_KEY

LOOP3:

JNZ LOOP ;等待键释放

MOV A,R5 ;输出键值

RET

EXIT_KEY:

RET

/*****延时子程序*****/

DELAY:

MOV R7,#150

DJNZ R7,$

RET

/*****选择键处理子程序*****/

SET_KEY:

CJNE R5,#01H,EXIT ;选择键键值

单片机自动打铃系统设计

自动打铃系统 ----学校上下课自动打铃设计 设计人: 要求:(1)实现上下课的打铃,并通过语音提示上下课;(2)按下开机键,显示当前年月日时间,在LCD液晶屏显示年,月,日,星期,时,分,秒,年-月-日-星期显示在第一行,格式xx-xx-xx-星期x;时分秒显示在第二行,格式xx-xx-xx(24小时格式); (3)能够设置当前时间; (4)使用语音芯片提示上下课,上课时提示:“亲爱的同学们,

上课了”,重复2遍,下课时提示:“亲爱的同学们,下课了“,重复2遍。 (5)允许使用时钟芯片。 《摘要》 单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

电铃系统-----基于单片机

湖南信息职业技术学院毕业(论文)设计 答辩申请书 课题电铃系统设计 一、课题(论文)提纲 二、内容摘要 三、参考文献 注:学生凭此申请书和设计(论文)样文参加答辩

湖南信息职业技术学院教务处制 目录 摘要: (3) 关键词 (3) 一、绪论................................................................................................................ 错误!未定义书签。 二、总体方案设计 (8) 2.1芯片比较 (8) 2.1.1 单片机选型 (8) 2.1.2 液晶显示模块的选择 (8) 2.1.3 时钟芯片的选择 (10) 2.1.4 语音芯片的选择 (11) 2.2总体设计与系统原理 (13) 三、硬件设计 (13) 3.1、单片机部分 (13) 3.1.1 STC89C52 (13) 2.1.2单片机硬件资源分配 (16) 3.2、液晶显示模块 (17) 3.3、时钟芯片部分 (23) 3.4、电源与复位电路部分 (24) 3.4.1 电源部分 (24) 2.4.2复位电路 (24) 3.2、电铃和音乐芯片部分 (25) 3.3、按键部分 (25) 四、软件设计 (26) 五、系统调试与仿真图 (29) 5.1、软件调试 (29) 5.2、系统调试 (23) 5.3、仿真图 (30) 六、总结................................................................................................................ 错误!未定义书签。参考文献................................................................................................................ 错误!未定义书签。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统 这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。 设计一台自动打铃系统 一、设计任务 用单片机器件为主体,设计一台自动打铃系统。 (1)按照设计标准,画出系统框图和系统硬件电路图。 (2)完成该课题的程序设计,提交程序设计框图及程序设计清单。 (3)提交课程设计报告 二、设计要求 (一)基本要求 (1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。 (2)能设置当前时间(含上、下午,时,分) (3)能实现基本打铃功能,规定: 上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。 下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。 铃声可用小喇叭播放,凡是用到铃声功能的均按此处理 (二)发挥部分 (1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 (2)增加调整起床铃、熄灯铃时间的功能。 (3)增设上午4节课的上下课打铃功能,规定如下: 7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 (4)特色和创新自选。 三、设计步骤 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏; (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒; (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。 四、课程设计说明书要求

基于单片机控制的电铃控制器

基于单片机控制的电铃控制器 一.设计要求 (一)基本功能 1.显示:可以显示星期、时、分和秒 2.打铃:每天可设置20次,打铃持续时间每次1-90秒可调,每次打铃的间隔 时间1-99 分钟可调. 3.铃声:内置蜂鸣器可以发出监控声音 (二)性能: 时间日误差< 1.5秒 (三)扩展功能: 1.可设定单/双休息日不打铃 2.随季节变化,每天自动调整开与关的时间

目录 1引言 (1) 2总体设计方案 (1) 2.1设计思路 (1) 2.2总体设计框图 (1) 2.3设计方案 (1) 3设计原理分析 (2) 3.1按键功能 (2) 3.2状态指示 (2) 3.3打铃控制 (2) 3.4电路原理图 (2) 4程序流程框图 (3) 4.1总体程序流程图 (3) 4.2主程序流程图 (3) 4.3校时程序流程图 (4) 4.4时钟打铃程序流程图 (4) 5心得与体会 (5) 参考文献 (5) 附录 (6)

基于单片机控制的电铃控制器 摘要:该设计介绍了一种以AT89c51单片机为核心,以七段数码管显示星期、时、分和秒,发光二极管作为指示灯标志及按键较时、定时的自动打铃器。 关键词:单片机电铃自动数码管 1引言 基于目前传统电铃噪音大,声音刺耳,不符合人们追求绿色环保的要求,我们采用89c51单片机设计了一套自动打铃系统。单片机(Single-Chip Microcomputer SCM)技术的应用,不但降低了生产成本,同时也方便了消费者,使操作简洁、安全。单片机的应用使许多复杂的事情,都能够简单、方便的实现了。用单片机控制的自动打铃器,充分发挥单片机体积小,价格便宜,功耗低,可靠性好等特点,充分发挥了单片机的控制优势。本打铃器可用于作息时间控制,方便了广大师生。 2总体设计方案: 2.1设计思路 利用单片机及其定时器设计的一个时钟,在每次毫秒加1的计时过程中,都与设定的打铃时间作比较,如果相等就输出打铃信号,不等则返回。如此反复运行。 2.2总体设计框图 键盘电路复位电路 数码管显示 状态指示 打铃信号AT89S51 图1 总体设计框图 2.3设计方案 根据设计任务的基本要求,设计了由单片机(AT89S51)作为主控器件,七段数码管作为显示电路,七个按键组成的按键操作电路,七个发光二极管组成的状态指示电路,以及三极管、蜂鸣器组成的报警提示电路和继电器组成的打铃信号输出电路构成的自动打铃器。 除了以上的硬件电路外,还充分利用软件、硬件相结合,充分发挥单片机设计的优势。使设计更具特色。 系统可分成三部分,即时钟电路、时间显示电路、控制电路,而时钟电路起控制主导作用。

基于单片机的校园打铃系统设计_毕业设计说明书(论文)

信息职业技术学院 毕业设计说明书(论文) 设计题目: 基于单片机的校园打铃系统设计 专业: 应用电子技术 班级: 应电08-2 学号: 姓名: 指导教师: 二〇一〇年九月十日

信息职业技术学院毕业设计(论文)任务书 学生 学号班级应电08-2 专业应用电子技术 姓名 设计(或论文)题目基于单片机的校园打铃系统设计 指导教师姓名职称工作单位及所从事专业联系方式备注 工程师学01 设计(论文)内容:利用单片机做为主控器件,设计一校园打铃系统的硬件部分: 1.实现打铃时间的设置和修改; 2.显示当前日期、时间; 3.掉电情况下计时工作正常进行、能保存以前设置的时刻。 基本要求: 1.正确选择元器件完成相应功能; 2.设计整机电路、明晰系统工作原理; 3.系统仿真; 4.完成说明书撰写。 进度安排: 第3~6周:选题及查找相关资料主要查找与课题相关的资料; 第7周:相关资料的整理并对其进行理解; 第8周:对毕业论文的资料进行初步的整理; 第9周:期中检查; 第10周:对论文进行修改及进行仿真实验; 第11周:对毕业论文进行排版; 第12周:检查排版及内容; 第13周:加深对毕业论文的理解准备答辩; 第14周:检查毕业设计论文的地方准备答辩; 第15周:答辩。 主要参考文献、资料(写清楚参考文献名称、作者、出版单位): [1]苏平.单片机原理与接口技术.北京:电子工业出版社,2003 [2]林伸茂.8051单片机彻底研究实习篇.北京:人民邮电出版社,2005 [3]韩志军.单片机应用系统设计.北京:机械工业出版社,2005 [4]陈坤.电子设计技术.成都:电子科技大学出版社,1997 [5]郑应光.模拟电子线路(一).南京:东南大学出版社,2005 [6]李秀忠.单片机应用技术.北京:人民邮电出版社,2007 审 批 意 教研室负责人: 见 年月日 备注:任务书由指导教师填写,一式二份。其中学生一份,指导教师一份。

基于51单片机的自动打铃系统

机电信息工程学院 单片机系统课程设计报告 系:电子信息工程系 专业:电子信息工程 班级:072班 设计题目:自动打铃系统设计 学生姓名:张锡斌仇龙佳 指导教师:刘忠富于为民 完成日期:2010年5月31日

目录 一、设计任务和性能指标 (2) 1.1设计任务 (2) 1.2性能指标 (2) 二、设计方案 (2) 三、系统硬件设置 (3) 3.1、单片机最小系统 (3) 3.2时钟电路DS1302 (4) 3.3、显示电路的设计 (5) 3.4、键盘接口的设计 (5) 3.5打铃电路的设计 (6) 四、系统软件设计 (7) 4.1程序流程图 (7) 4.2主程序设计 (10) 4.3显示子程序的设计 (11) 五、调试及性能分析 (12) 5.1调试步骤 (12) 5.2性能分析 (12) 六、心得体会 (12) 参考文献 (13) 附录1 系统硬件电路图 (14) 附录2 程序清单 (15)

一、设计任务和性能指标 1.1设计任务 用单片机器件为主体,设计一台自动打铃系统。 (一)基本要求 1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数 字显示,秒信号指示。 2、能设置当前时间(含上、下午,时,分)。 3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、 再打铃5秒。下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。 (二)发挥部分 1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 2、增加调整起床铃、熄灯铃时间的功能。 3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下 课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 4、特色和创新自选。 1.2性能指标 1.时钟:上下午(1位)、时(2位) 、分(2位) 2.校对键:确认键/设置键、右移键/灭铃键、加键、减键 3.响铃:蜂鸣器二.设计方案 二、设计方案 按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。电路系统构成框图如图1.1所示。主控芯片使用51系列AT89C52单片机,采用高性能的静态80C51设计,由先进工艺制造,并带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位COMS 微处理芯片,市场应用最多。 时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。采用DS1302作为主要计时芯片、可以做到计时准确。更重要的是,DS1302可以在很小的电流的后备电源(2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流对后备电源进行慢速充电,可以保证后备电源基本不耗电。采用串行数据传输,与单片机硬件连接简单,如果使用时钟芯片DS12887,将采用并行数据传输,占用更多的硬件资源。因此为节省单片机端口,时钟芯片采用DS1302。

单片机自动控制打铃系统设计

单片机自动控制打铃系统,是以一片8位单片机为核心的实时时钟及控制系统.我们知道单片机的外接石英晶体震荡器能提供稳定、准确的基准频率,并经12分频后向内部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得到秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息.如果石英晶体震荡器的频率信号为6MHZ,设定定时器定时器工作在方式1下,定时器为3CB0H,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数到60,则向时计数器进位,如此周而复始的连续技术,便可获得时、分、秒的信号,建立一个实时时钟.接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求. 2.2 ISP下载电缆的电路及程序设计简介 能实现ISP功能的硬件电路,通常被称为“下载电缆”。ATMEL公司推出的AT89S51/52单片机就具有ISP功能。用计算机并行口实现ISP功能,在电路设计上非常灵活。(1)下载电缆的电路设计: 计算机并行接口共有25个口线,主要包括数据端口D0~D7(端口地址为378H,用于数据输出);状态端口Busy、nAck、PE、Select、nError(端口地址为379H,用于数据输入);控制端口nSelin、nlnit、nStrobe(端口地址为37AH,用于输出控制)。从中选出4个口线来模拟ISP所需的引脚,就非常灵活,只需考虑数据的输入、输出方向及操作方便即可。但要注意同一端口的数据方向必须一致,例如数据端口是8位同时操作的,只能全部作为输入或输出,而不能将一部分做输入,另一部分做输出。 下载电缆的电路如图附件一所示。该电路主要包括并行接口电路、驱动隔离电路和JTAG接口电路3大部分,这里只所以说JTAG接口电路,是因为ISP传输虽然在协议上符合SPI协议,但引脚是按照JTAG标准而定义的,它们的对应关系如下:TCK对应SCK,D0对应MOSI,TMS对应RST,TDO对应MISO。 (2)并行接口电路 该电路是按计算机并行口标准定义的,在电路中采用nStrobe模拟TCK,用D0模拟TDI,用nSelin模拟TMS。用nACK模拟TDO。这样的定义方法就决定了TCK时钟和TMS的产生要由并行口的控制端口产生;TDI由并行口的数据端口产生,TDO要由状态端口获取,不同的端口操作地址不同,涉及程序的编写。这些对应关系见表:

基于单片机控制电铃设计

单片机原理与应用 综合实验报告 基于单片机控制的电铃控制器 专业班级:电子信息工程 姓名:胡俊_ 学号: 时间:2014.10.30 指导教师:左敬龙 2014年10月30 日

电铃控制器设计任务书 1.设计目的与要求 设计出一个用于电铃控制器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)基本功能 1)显示:可以显示星期、时、分和秒。 2)打铃:每天可设置20次,打铃持续时间每次1-90秒可调,每次打铃的间隔时间1-99分钟可调。 3)铃声:内置蜂鸣器可以发出监控声音。 (2)性能: 时间日误差< 1.5秒。 (3)扩展功能 1)可设定单/双休息日不打铃。 2)随季节变化,每天自动调整开与关的时间。 2.设计内容 写出实现该功能的C语言编程,并在KILE软件上仿真,并产生hex文件,通过软件把该程序写入单片机开发板,验证是否实现该功能 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2 设计方案 (2) 3 程序流程图框 (2) 3.1 总体程序流程图框 (2) 3.2 时钟打铃程序流程图框 (3) 4 设计原理分析 (4) 4.1时钟电路的设计 (4) 4.2控制电路的原理 (4) 4.3显示电路的原理 (5) 总结与体会 (5) 参考文献 (6) 附录1 效果图 (7) 附录2 C语言程序 (8)

电铃控制器 摘要:该设计介绍了一种以AT89S51单片机为核心,以七段数码管显示星期;时;分钟和秒,发光二极管作为指示灯标志及按键校时定时的自动打铃控制器。 关键词:单片机;数码管;电铃;定时;74LS245 1 引言 当今时代是一个新技术层出不穷的时代,在电子领域有尤其是自动化自动控制了领域,传统的分立元件或数学逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小,功能强,成本低,应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂,学校及企业单位大规模的兴起。学习单片机的最有效的方法就是理论与实践并重。 打铃器由AT89S51及其它器件组成,采用按键控制调时和定时等功能,用7个数码管来分别显示星期、时、分和秒.用5V直流电源,可以设定20多个打铃时间点。以AT89S51为核心的单片机控制. 主要作用:解除作息管理麻烦、改变人工打铃、人工控制电器落后现象,实行电铃周期性工作的自动化控制.同时设有数字显示器及控制系统体积小,重量轻等优点。充分发挥单片机体积小,价格便宜,功耗低,可靠性好等特点,充分发挥了单片机的控制优势。 2 总体设计方案 2.1设计思路 图1 设计思路框图 电铃控制器设计要求具有显示星期、时、分和秒以及设定时间和调整时间等功能,这些基本要求都可以通过软件编程实现。要实现打铃提示,就需要设置打铃提示电路, 信号输入电路采用按钮开关。设计思路框图如图1所示。

单片机应用系统设计工程实践报告

2016-2017学年第1学期 单片机应用系统设计/工程实践 (课号:103G06B/D/E) 实验报告 项目名称:基于AT89C51单片机温度报警系统 学号 姓名 班级 学院信息科学与工程学院 完成时间

目录 一、项目功能及要求 (3) 1.1、课程设计的性质和目的 (3) 1.3、项目设计要求 (3) 二、系统方案设计及原理 (3) 2.1、设计主要内容 (3) 2.2 、AT89C51单片机简介 (3) 2.3 、DS18B20简介 (4) 2.4 、数码管显示 (5) 2.5、报警电路 (6) 三、系统结构及硬件实现 (7) 3.1、总电路图 (7) 3.2、单片机控制流程图 (8) 四、软件设计过程 (8) 五、实验结果及分析 (8) 5.1 、Proteus仿真 (8) 5.2 、C程序调试 (9) 六、收获及自我评价 (14) 七、参考文献 (15)

一、项目功能及要求 1.1、课程设计的性质和目的 本温度报警器以AT89C51单片机为控制核心,由一数字温度传感器DS18B20测量被控温度,结合7段LED以及驱动LED的74LS245组合而成。当被测量值超出预设范围则发出警报,且精度高。 利用现代虚拟仿真技术可对设计进行仿真实验,与单片机仿真联系紧密的为proteus仿真,利用keil软件设计单片机控制系统,然后与proteus进行联合调试,可对设计的正确性进行检验。 1.2、课程设计的要求 1、遵循硬件设计模块化。 2、要求程序设计结构化。 3、程序简明易懂,多运用输入输出提示,有出错信息及必要的注释。 4、要求程序结构合理,语句使用得当。 5、适当追求编程技巧和程序运行效率。 1.3、项目设计要求 1、基于AT89C51单片机温度报警系统; 2、设计3个按键分别为:设置按钮、温度加、温度减; 3、DS18B20温度传感器采集温度,并在数码管上显示按键的区别; 二、系统方案设计及原理 2.1、设计主要内容 本设计以AT89C51单片机为核心,从而建立一个控制系统,实现通过3个按键控制温度,以达到设置温度上下限的功能,并在数码管上显示三个数字当前的温度上下限设置值和DS18B20温度采集值的显示(精确到小数点后一位),当温度高于上限或者低于下限蜂鸣器报警。 2.2 、AT89C51单片机简介 AT89C51是一个低功耗,高性能CMOS8位单片机,片内含4kBytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用A TMEL公司的高密度、非易失性存储技术制造,兼容标准MCS-51指令系统及89C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89C51可为许多嵌入式控制应用系统提供高性价比的解决方案.AT89C51具有如下特点:40个引脚,4k Bytes Flash片内程序存储器,128 bytes的随机存取数据存储器,32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,片内时钟振荡器。 此外,AT89C51设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP和PLCC等三种封装形式,以适应不同产品的需求。AT89C51单片机的基本结构和外部引脚如下图所示。

毕业设计--单片机自动打铃系统论文

摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候都需要对时间进行规划,然后到时间点就要有时间提醒,这就必须用到时钟提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃装置,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上下课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排,同时,也可作为一个提醒学生们作息时间的时间表,让老师和学生都能有一个规律和科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以AT89S51单片机为控制器,以DS1307时钟芯片为系统提供时间,并在液晶显示器上显示,通过按键可以设定定时打铃时间和打铃的时间间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。本文提出的设计方法电路简单、成本低廉、实用性强。 关键字:打铃器、AT89S51单片机、DS1307、液晶显示器

Abstract Now fast-paced life, the time more and more demanding, often need time to plan and then to the point in time there should be reminded, which must be used to clock reminder can also be calledrang the bell device. Rang the bell device are many, such as the phone rang the bell system, mechanical bell device of the alarm clock, radio bell systems, etc., but in daily life appear or campus automatic bell system. In school life, the last class of the day are inseparable from the bell system. The bell can provide time for the last class of students and teachers to remind conducive to a reasonable arrangement of the teachers and students to school and learning, but also as a reminder of the schedule of the students schedule, so that teachers and students cana law and scientific timing. Therefore, the core part is the clock part of the bell system, the system provides a time reference. This design is mainly for the applicable requirements of the campus bell system, introduced a microcontroller-based automatic bell system design method, the system controller is AT89S51 SCM , the DS1307 clock chip provide the system with time, and the LCD displayed on the monitor button can set the time interval of the timer rang the bell time and rang the bell. System software design using C language, C language syntax is simple, easy to use, very convenient to be used to complete the software design. This paper presents the design circuit is simple, low cost, and practical. Key words: Rang the bell AT89S51 SCM the DS1307 LCD monitors

基于单片机的电子门铃设计说明

课程设计 2010——2011学年 第一学期 设计名称:基于单片机的电子门铃设计学生学号: 学生姓名: 指导教师: 院、系:信息工程学院 教研室:电子信息

设计目的: 1、动手焊接单片机硬件电路板,增进对单片机的感性认识,加深对单片机理论方面的理解。 2、了解单片机的部功能模块的应用。 3、了解和掌握单片机应用系统的硬件设计和制作过程、方法及实现。设计任务: 1、了解单片机的组成结构,设计相关电路原理图; 2、根据原理图焊接硬件电路。 3、实现所要求的功能。 设计要求: 1、要求理解单片机的组成结构; 2、根据给出的主要芯片,设计相关电路原理图; 3、焊接电路板,检测并调试。 设计目标: 1、设计相关电路图; 2、焊接电路板; 3、检测并调试。 设计容:

传统的电子音乐门铃通常采用分立元件或专用的音乐IC制作。本文介绍一个用AT89C51单片机设计的电子音乐门铃,仅需AT89C51单片机最小系统再加一片LM386做音频小功放驱动扬声器发声。客人来访时,按一下按钮,门铃就会奏出优美的电子音乐声;再按一下,门铃又会奏出下一首电子音乐声音.共可以奏出六首不同旋律的歌曲。 设计原理: 音乐是由音符组成,不同的音符是由相应频率的振动产生。产生不同的音频需要有不同固定周期的脉冲信号。要产生音频脉冲,只要算出某一音频的周期T(1/f) ,然后将此周期T除以2,即为半周期的时间。我们利用单片机的部定时器TO,使其工作在计数器模式MODEl下.初始化适当的计数值THO及TLO以计时这个半周期时间。每当计时时间到后就将输出脉冲的P1.0口反相。然后重复计时此半周期时间,再对P1.0口反相,就可在单片机Pl.0引脚上得到此频率的脉冲。P1.0引脚脉冲接LM386作音频功放,然后辅出到扬声器,从而发出美妙的乐音。 例如设单片机晶振为12MHz,每计数一次用时1μs。我们要产生f低音DO,其频率为392Hz,周期T=1/392=2551μs,半周期时间为1276μs。因此计数器应每计数1276次时将P1.0口反相,即计数初值应设定为(THxTLx)=一 1276=64260,就可得到低音D0。P3.5口作为控制门铃的按钮,每按一次,产生的电子乐音就改变一次,按完6次,再重复循环。6首歌曲分别为《生日快乐》、《两只老虎》、《三只小猫》、《哈巴狗》、《不倒翁》、《妹妹背着洋娃娃》。

自动打铃系统设计

<<综合课程设计>> 自动打铃系统设计报告 题目:自动打铃系统 专业:电子信息工程 年级: 学号: 学生: 联系: 指导老师: 完成日期: 2013年12月30日

自动打铃系统 摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候需要对时间规划,然后到时间点就要有时间提醒,这就必须用到时中提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃系统,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排。同事也可以作为一个提醒学生作息时间的时间表,让老师和学生都有一个规律科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以STC89C52单片机为控制器,以DS1302时钟芯片为系统提供时间,并在1602液晶显示器上显示,通过按键可以设定定时打铃时间和打铃间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。 关键词:打铃器、STC89C52单片机、DS1302、LCD1602

ABSTRACT In today's fast-paced life, people are more and more requirements, in many cases need time to plan, and then to point in time will have time to remind, which must be used to remind device, also known as Bell equipment. Bell devices there are many, such as phone ringing system, the mechanical Bell alarm clock system, broadcast the Bell System, and so on, but in everyday life up to the school bell system automatically. In school life, are inseparable from the Bell system used in class every day. Bell can provide reminder of students and teachers to and from school, reasonable arrangements conducive to teachers and students on school and learning. Colleagues can be used as an alert student hours schedule, so that teachers and students have a timeline of the laws of science. Accordingly, Bell clock in the core part of the system, provide a time reference for the system. Designed primarily for the Bell System requirements apply to the campus, and introduces an automatic Bell system based on single-chip design methods, systems with STC89C52 single-chip controller, DS1302 provides the system time clock chip, in 1602 and displayed on the LCD by pressing the set ringing and ringing in intervals of time on a regular basis. System software design using the c language to complete, c language syntax is simple, easy to use, is very convenient for completing a software design. Key Words: t Bell, DS1302, collector, STC89C52 single-chip LCD1602

基于单片机的校园打铃系统设计方案

基于单片机的校园打铃系统设计方案 第1章绪论 校园打铃系统就是利用现代计算机、通讯等技术,以传统的铃声系统为基础,根据用户对铃声系统功能的要求,由单片机来控制、管理、播放的系统。 通过把播放的容以数字形式存放在存储器中,然后单片机通过控制软件,按照学校设定的播放时间和容控制单片机自动将存储器中的数字音乐文件播放出来。铃声控制系统整体由两部分组成:主控中心和终端电铃。主控中心以单片机为核心,包括控制电路、显示电路、键盘电路和存储电路。终端电铃为响应控制设备,通过其自身的控制系统可以获得清晰、响亮的声响。 单片机在电子产品中的应用已经越来越广泛,并且在很多电子产品中也将其用到校园铃声和广播控制。单片机又称单片微控制器,是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词—“智能型”,如智能型洗衣机等。 本次设计是实现一个单片机的校园打铃系统,能过设置打铃时间,同时要求能够在系统掉电时,时间能够继续,数据能够保持,能够实现打铃。

第2章方案设计与论证 2.1 系统方案选择与比较 2.1.1 控制模块方案选择 校园打铃系统设计方案有多种,下面提出两种电路方案。 方案一:主要是由石英晶体振荡电路和分频器电路组成的脉冲发生器、校时电路、报时电路以及时、分、秒计数器和译码显示电路等电路组成,其中采用计数器74LS290、译码器74LS49、分频器和八段数码管显示器等器件组成的校园打铃系统,整个系统有控制简单,调试容易等优点,但是其显示功能单一、电路复杂。其组成方框图如下2-1所示: 图2-1 方案一组成方框图 方案二:采用ATC公司的单片机ATC89C51作为控制器。单片机运算能力强,软件编程灵活,自由度大。它是MCS-51系列单片机的派生产品,在指令系统、硬件结构和片资源上与标准8051单片机完全兼容,使用时容易掌握;采用ATC89S52单片机稳定可靠、应用广泛、通用性强。

基于单片机自动打铃系统设计

目录 第一部分设计任务 (2) 1、毕业设计的主要任务 (2) 2、单片机总体设计思路 (2) 第二部分设计说明 (3) 1、单片机介绍 (3) 2、设计说明 (3) 3、软件设计 (8) 第三部分设计成果 (12) 1、开机运行图 (12) 2、自动打铃器源程序 (12) 第四部分结束语 (15) 第五部分致谢 (18) 第六部分参考文献 (19)

第一部分设计任务 1、毕业设计的主要任务 设计一个采用4位数码管显示时间秒、分、时,伴有调时校正电路,响铃控制则是通过作息时间表和定时器来实现自动打铃的单片机控制系统。 对于不同的季节,作息时间可能不同,可以制定多个作息时间表采用开关切换达到目的。 本设计采用了1个开关实现夏季和冬季作息时间的切换,完成一个自动循环。 2、单片机总体设计思路 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏。 (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒。 (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能。 (4)设计打铃执行机构,完成自动打铃功能。

第二部分设计说明 1、单片机介绍 本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等4部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这是秒单元加1。同理,对分单有采用动态扫描LED的显示。本系统采用四个按键,当时钟时间和设置时间一直时元和时单元计数从而产生秒、分、时的值,通过六位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口作,进行打铃,每次打铃30s较复杂,考虑显示只有六位,且系统没有其他浮躁的处理程序。 2、设计说明 2.1 AT89C51简介 一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.1.2引脚说明 VCC:供电电压。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,

基于单片机的电子音乐门铃设计说明书

基于单片机的电子音乐门铃设计 题目:电子音乐门铃设计 学院: 专业: 班级: 学号: : 时间:

摘要 近几年来,随着市场上智能化楼宇的不断升温,门铃系统已作为智能化办公室和智能化住宅小区的一个重要组成部分,被各商家和用户所接受。人们已开始习惯用门铃系统代替传统的铁钥匙去管理各通道门,这使门铃系统得到了飞跃性的发展。随着单片机技术的飞速发展,通过单片机实现人们对物质生活的满足,这将会日益成为今后的一个重要发展的方向。本设计是介绍了由AT89C51单片机来构成电子音乐门铃系统的工作过程,本系统主要完成该电子门铃不仅具有普通电子门铃的功能,而且还具有一些扩展功能。它的工作状态能够由用户自行设定等常规功能,并给来访者提供必要的语音和文字回应信息,此外。这给人们生活提供了很大的便利 关键词:电子门铃

目录 一、设计目的 二、设计任务 三、设计要求 四、设计步骤(流程) 五、设计原理 六、设计容 6.1硬件设计 6.2单片机引脚说明 6.3主要电路设计 6.3.1时钟电路 6.3.2复位电路 6.3.3功率放大电路 6.4软件设计 6.4.1音乐符设计 6.4.2程序设计 6.4.3程序流程图 6.4.4仿真界面图 6.5.5编程 七、设计小结 参考文献

一、设计目的: 1、动手焊接单片机硬件电路板,增进对单片机的感性认识,加深对单片机理论方面的理解。 2、了解单片机的部功能模块的应用。 3、了解和掌握单片机应用系统的硬件设计和制作过程、方法及实现。 二、设计任务: 1、了解单片机的组成结构,设计相关电路原理图; 2、根据原理图焊接硬件电路。 3、实现所要求的功能。 三、设计要求: 1、要求理解单片机的组成结构; 2、根据给出的主要芯片,设计相关电路原理图; 3、焊接电路板,检测并调试。 四、设计步骤(流程): 1、根据电子音乐门铃原理设计相关电路图; 2、焊接电路板; 3、编写程序、检测并调试。 五、设计原理: 音乐是由音符组成,不同的音符是由相应频率的振动产生。产生不同的音频需要有不同固定周期的脉冲信号。要产生音频脉冲,只要算出某一音频的周期T(1/f) ,然后将此周期T除以2,即为半周期的时间。我们利用单片机的部定时器TO,使其工作在计数器模式MODEl下.初始化适当的计数值THO及TLO以计时这个半周期时间。每当计时时间到后就将输出脉冲的P1.0口反相。然后重复计时此半周期时间,再对P1.0口反相,就可在单片机Pl.0引脚上得到此频率的脉冲。P1.0引脚脉冲接LM386作音频功放,然后辅出到扬声器,从而发出美妙的乐音。 例如设单片机晶振为12MHz,每计数一次用时1μs。我们要产生f低音DO,

相关文档
最新文档