半导体工艺中的英语词汇

半导体工艺中的英语词汇
半导体工艺中的英语词汇

A

Abrupt junction 突变结Accelerated testing 加速实验

Acceptor 受主Acceptor atom 受主原子

Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区

Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium)铝

Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化

Ambipolar 双极的Ambient temperature 环境温度

Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器

Analogue(Analog)comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS)砷

Auger 俄歇Auger process 俄歇过程

Avalanche 雪崩Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

B

Background carrier 本底载流子Background doping 本底掺杂

Backward 反向Backward bias 反向偏置

Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应

Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板

Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区

C

Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流

Channel doping 沟道掺杂Channel shortening 沟道缩短

Channel width 沟道宽度Characteristic impedance 特征阻抗

Charge 电荷、充电Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光

Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入

Common-mode rejection ratio (CMRR)共模抑制比

Compatibility 兼容性Compensation 补偿

Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造

Compound Semiconductor 化合物半导体Conductance 电导

Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率

Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数

Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件

Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

D

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB)分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级

Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度

Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触

Depletion depth 耗尽深度Depletion effect 耗尽效应

Depletion layer 耗尽层Depletion MOS 耗尽MOS

Depletion region 耗尽区Deposited film 淀积薄膜

Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离

Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结

Diffusion 扩散Diffusion coefficient 扩散系数

Diffusion constant 扩散常数Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁

Discharge 放电Discrete component 分立元件

Dissipation 耗散Distribution 分布

Distributed capacitance 分布电容Distributed model 分布模型

Displacement 位移Dislocation 位错

Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装

Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

E

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship)爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron Volt (eV)电子伏

Electrostatic 静电的Element 元素/元件/配件

Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对

Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式

Enhancement MOS 增强性MOS Entefic (低)共溶的

Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement (erfc)余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子

Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

F

Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态

Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管

Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀

Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

G

Gain 增益Gallium-Arsenide(GaAs)砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗

Graded 缓变的Graded (gradual)channel 缓变沟道

Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

H

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应

Heterojunction 异质结Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子

Hybrid integration 混合集成

I

Image - force 镜象力Impact ionization 碰撞电离

Impedance 阻抗Imperfect structure 不完整结构

Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂质散射

Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连

Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉

International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作

Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入

Ionization 电离Ionization energy 电离能

Irradiation 辐照Isolation land 隔离岛

Isotropic 各向同性

J

Junction FET(JFET)结型场效应管Junction isolation 结隔离

Junction spacing 结间距Junction side-wall 结侧壁

L

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

Life time 寿命linearity 线性度

Linked bond 共价键Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻Light Emitting Diode(LED)发光二极管

Load line or Variable 负载线Locating and Wiring 布局布线

Longitudinal 纵向的Logic swing 逻辑摆幅

Lorentz 洛沦兹Lumped model 集总模型

M

Majority carrier 多数载流子Mask 掩膜板,光刻板

Mask level 掩模序号Mask set 掩模组

Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦

Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间

Megeto - resistance 磁阻Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数

Minority carrier 少数载流子Misfit 失配

Mismatching 失配Mobile ions 可动离子

Mobility 迁移率Module 模块

Modulate 调制Molecular crystal分子晶体

Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增

Modulator 调制Multi-chip IC 多芯片IC

Multi-chip module(MCM)多芯片模块Multiplication coefficient倍增因子

N

Naked chip 未封装的芯片(裸片)Negative feedback 负反馈

Negative resistance 负阻Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性

Normally off/on 常闭/开Numerical analysis 数值分析

O

Occupied band 满带Officienay 功率

Offset 偏移、失调On standby 待命状态

Ohmic contact 欧姆接触Open circuit 开路

Operating point 工作点Operating bias 工作偏置

Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭

Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形Out-of-contact mask非接触式掩模

Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护

Over shoot 过冲Over-voltage protection 过压保护

Overlap 交迭Overload 过载

Oscillator 振荡器Oxide 氧化物

Oxidation 氧化Oxide passivation 氧化层钝化

P

Package 封装Pad 压焊点

Parameter 参数Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件Passive device 无源器件

Passive surface 钝化界面Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压Peak voltage 峰值电压

Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表Permeable - base 可渗透基区

Phase-lock loop 锁相环Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚

Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应

Poisson equation 泊松方程Point contact 点接触

Polarity 极性Polycrystal 多晶

Polymer semiconductor聚合物半导体Poly-silicon 多晶硅

Potential (电)势Potential barrier 势垒

Potential well 势阱Power dissipation 功耗

Power transistor 功率晶体管Preamplifier 前置放大器

Primary flat 主平面Principal axes 主轴

Print-circuit board(PCB)印制电路板Probability 几率

Probe 探针Process 工艺

Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制

punchthrough 穿通Push-pull stage 推挽级

Q

Quality factor 品质因子Quantization 量子化

Quantum 量子Quantum efficiency量子效应

Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英

R

Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管

Reciprocal lattice 倒核子Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触

Reference 基准点基准参考点Refractive index 折射率

Register 寄存器Registration 对准

Regulate 控制调整Relaxation lifetime 驰豫时间

Reliability 可*性Resonance 谐振

Resistance 电阻Resistor 电阻器

Resistivity 电阻率Regulator 稳压管(器)

Relaxation 驰豫Resonant frequency共射频率

Response time 响应时间Reverse 反向的

Reverse bias 反向偏置

S

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)

Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的

Scaled down 按比例缩小Scattering 散射

Schockley diode 肖克莱二极管Schottky 肖特基

Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶Segregation 分凝

Selectivity 选择性Self aligned 自对准的

Self diffusion 自扩散Semiconductor 半导体

Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感

Settle time 建立时间Sheet resistance 薄层电阻

Shield 屏蔽Short circuit 短路

Shot noise 散粒噪声Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃Silicon 硅

Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅

Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅

Siliver whiskers 银须Simple cubic 简立方

Single crystal 单晶Sink 沉

Skin effect 趋肤效应Snap time 急变时间

Sneak path 潜行通路Sulethreshold 亚阈的

Solar battery/cell 太阳能电池Solid circuit 固体电路

Solid Solubility 固溶度Sonband 子带

Source 源极Source follower 源随器

Space charge 空间电荷Specific heat(PT)热

Speed-power product 速度功耗乘积Spherical 球面的

Spin 自旋Split 分裂

Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错

Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间

Stress 应力Straggle 偏差

Sublimation 升华Substrate 衬底

Substitutional 替位式的Superlattice 超晶格

Supply 电源Surface 表面

Surge capacity 浪涌能力Subscript 下标

Switching time 开关时间Switch 开关

T

Tailing 扩展Terminal 终端

Tensor 张量Tensorial 张量的

Thermal activation 热激发Thermal conductivity 热导率

Thermal equilibrium 热平衡Thermal Oxidation 热氧化

Thermal resistance 热阻Thermal sink 热沉

Thermal velocity 热运动Thermoelectricpovoer 温差电动势率

Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值

Thyistor 晶闸管Transconductance 跨导

Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的

Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物

Transition probability 跃迁几率Transition region 过渡区

Transport 输运Transverse 横向的

Trap 陷阱Trapping 俘获

Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发

Trim 调配调整Triple diffusion 三重扩散

Truth table 真值表Tolerahce 容差

Tunnel(ing)隧道(穿)Tunnel current 隧道电流

Turn over 转折Turn - off time 关断时间

U

Ultraviolet 紫外的Unijunction 单结的

Unipolar 单极的Unit cell 原(元)胞

Unity-gain frequency 单位增益频率Unilateral-switch单向开关

V

Vacancy 空位Vacuum 真空

Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相

Varactor 变容管Varistor 变阻器

Vibration 振动Voltage 电压

W

Wafer 晶片Wave equation 波动方程

Wave guide 波导Wave number 波数

Wave-particle duality 波粒二相性Wear-out 烧毁

Wire routing 布线Work function 功函数

Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

常用英语单词大全

一、学习用品(school things) pen钢笔pencil铅笔pencil-case铅笔盒ruler尺子book书bag包comic book漫画书post card明信片newspaper报纸schoolbag书包eraser橡皮crayon蜡笔sharpener卷笔刀story-book故事书notebook笔记本Chinese book语文书English book英语书math book数学书magazine杂志dictionary词典 二、身体(body) foot脚head头face脸hair头发nose鼻子mouth嘴eye眼睛ear耳朵arm手臂hand手finger手指leg腿tail尾巴 三、颜色(colours) red红blue蓝yellow黄green绿white白black黑pink粉红purple紫orange橙brown棕 四、动物(animals) cat猫dog狗pig猪duck鸭rabbit兔horse马elephant大象ant蚂蚁fish 鱼bird鸟eagle鹰beaver海狸snake蛇mouse老鼠squirrel松鼠kangaroo袋鼠monkey猴panda熊猫bear熊lion狮子tiger老虎fox狐狸zebra斑马deer鹿giraffe长颈鹿goose鹅hen母鸡turkey火鸡lamb小羊sheep绵羊goat山羊cow奶牛donkey驴squid鱿鱼lobster龙虾shark鲨鱼seal海豹sperm whale抹香鲸killer whale虎鲸 五、人物(people) friend朋友boy男孩girl女孩mother母亲father父亲sister姐妹brother 兄弟uncle叔叔;舅舅man男人woman女人Mr.先生Miss小姐lady女士;小姐mom妈妈dad爸爸parents父母grandparents祖父母robot机器人grandma/grandmother(外)祖母grandpa/grandfather(外)祖父aunt姑姑

学科的英语词汇

中英文学科专业名称 哲学 Philosophy 马克思主义哲学 Philosophy of Marxism 中国哲学 Chinese Philosophy 外国哲学 Foreign Philosophies 逻辑学 Logic 伦理学 Ethics 美学 Aesthetics 宗教学 Science of Religion 科学技术哲学Philosophy of Science and Technology 经济学 Economics 理论经济学 Theoretical Economics 政治经济学 Political Economy 经济思想史 History of Economic Thought 经济史 History of Economic 西方经济学 Western Economics 世界经济 World Economics 人口、资源与环境经济学 Population, Resources and Environmental Economics 应用经济学 Applied Economics 国民经济学 National Economics 区域经济学 Regional Economics 财政学(含税收学) Public Finance (including Taxation) 金融学(含保险学) Finance (including Insurance) 产业经济学 Industrial Economics 国际贸易学 International Trade

劳动经济学 Labor Economics 统计学 Statistics 数量经济学 Quantitative Economics 国防经济学 National Defense Economics 法学 Law 法学 Science of Law 法学理论 Jurisprudence 法律史 Legal History 宪法学与行政法学Constitutional Law and Administrative Law 刑法学 Criminal Jurisprudence 民商法学(含劳动法学、社会保障法学) Civil Law and Commercial Law (including Science of Labour Law and Science of Social Security Law ) 诉讼法学 Science of Procedure Laws 经济法学 Science of Economic Law 环境与资源保护法学 Science of Environment and Natural Resources Protection Law 国际法学(含国际公法学、国际私法学、国际经济法学、) International law (including International Public law, International Private Law and International Economic Law) 军事法学 Science of Military Law 政治学 Political Science 政治学理论 Political Theory 中外政治制度Chinese and Foreign Political Institution 科学社会主义与国际共产主义运动 Scientific Socialism and International Communist Movement

工艺常用英语词汇

3.工艺常用英语词汇 探伤仪flaw detector 探伤flaw detection/crack detection 不合格 not up to the (required) standard/below the mark 合格qualified/up to standard 合格证 certificate of inspection/certificate of quality 一级片class Ⅰ typ e film 二级片class Ⅱ type film 三级片class Ⅲ type film 试压流体test fluid 试验证书test certificate 试压工作队testing spread 硬度试验test of hardness testing 试压准备test preparation 试验压力test pressure 试压泵 test pump 试焊口test weld 强度试压strength test 严密性试压proof test 通径试验drift test 吹扫purging 压力表manometer/pressure gauge

压力表式温度计pressure-spring thermometer 压力开关pressure switch 压力阀pressure valve 精度系数quality coefficient 精度等级accuracy class/precision class 精度检查accuracy checking/alignment test 精度控制accuracy control 精度要求required precision 稳压试验standup pressure test 对管工stabber 施工工作队spread 盲板blank 临时盲板temporary blank 临时措施temporary 水压试验test of water pressure 返工remade 返修rework 截面section 管沟pipe trench 管沟截面尺寸section dimension of pipe trench 管沟开挖质量quality of pipe trench 开挖机械excavation machinery

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

常用英语单词归类

1..Jobs(职业篇) doctor(医生/博士)manager(经理)teacher(老师)driver(司机)student(学生)nurse(护士) scientist(科学家) singer歌手 policeman(警察)businessman商人farmer农民 waiter服务员,boss老板,visitor(参观教者)lawyer律师dancer舞蹈者actor 演员engineer工程师fireman消防员 editor 编辑guide导游, reporter记者inventor发明家 assistant(助理) cook厨师 librarian 图书管理员artist(艺术家)university大学生musician(音乐家) 2. .Food(食物篇) breakfast早餐 lunch午饭 supper晚饭 dinner晚饭,正餐 meals三餐rice(米饭)fruit(水果) orange(橙) vegetable(蔬菜)soup汤 milk products奶制品 meat 肉类 sugar食糖 grain谷类 fast food 快餐 salt食盐 beef(牛肉)pork(猪肉)chicken(鸡肉)fish(鱼) juice(果汁)drinks (饮料)cake 蛋糕 milk(牛奶)potato(土豆)carrot(萝卜) tomato(西红柿) noodle(面条)hamburger(汉堡包)Coke(可乐)beer 啤酒 ice cream(冰激凌) chocolate(巧克力)water(水) coffee 咖啡 tea茶 cookie饼干 3. Family(家庭篇) grandfather / grandpa (外)祖父 ,爷爷 grandmother / grandma(外)祖母, 奶奶father爸爸 mother妈妈 aunt阿姨 uncle叔叔 sister姐妹 brother哥弟son(儿子)parents(父;母)daughter(女儿)children 儿童 adult 成人 the old老人 the young年轻人 kid/child/ children小孩classmate同学 neighbour邻居 .4.Subjects(课程篇) class(课)art(美术chemistry(化学)history历史maths(数学) Chinese语文English英语 physics物理 music音乐 P.E体育 computer电脑geography地理biology生物 politics 政治 science class 自然课 5..Clothes(衣服) shoes鞋子 jeans(牛仔裤) T-shirt(短袖衣服) shirt(衬衫) dress(裙子)coat(大衣) skirt(短裙) trousers裤子 socks袜子 jacket 夹克衫 socks袜子 sweater毛线衣 boots靴子 hat帽子 shorts短裤 raincoat雨衣 6..Numbers(数字篇) First第一 second第二 third第三 hundred一百thousand一千 million百万billion十亿

微电子专业英语

微电子学专业词汇 A be absorb in 集中精力做某事 access control list 访问控制表 active attack 主动攻击 activeX control ActiveX控件 advanced encryption standard AES,高级加密标准 algorithm 算法 alteration of message 改变消息 application level attack 应用层攻击 argument 变量 asymmetric key cryptography 非对称密钥加密 attribute certificate属性证书 authentication 鉴别 authority 机构 availability 可用性 Abrupt junction 突变结 Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区 Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝 Aluminum – oxide 铝氧化物 Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火

最常用英语词汇3000个

3000 Most Common Words in American English about after again air all along also an and another any are around as at away back be because been before below between both but by came can come could day did different do does don't down each end even every few find first for found from get give go good great had has have he help her here his home house how I if in into is it its just know large last left like line little long look made make man many may me men might more most Mr. must my name never new next no not now number of off old on one only or other our out over own part people place put read right said same saw say see she should show small so some something sound still such take tell than that the them then there these they thing think this those thought three through time to together too two under up us use very want water way we well went were what when where which while who why will with word world would write year you your was able above across add against ago almost among animal answer became become began behind being better black best body book boy brought call cannot car certain change children city close cold country course cut didn't dog done door draw during early earth eat enough ever example eye face family far father feel

常用化工机械专业英语对照

常用机械专业英语对照 Cutting: 切割 socket weld承插焊接 fillet weld角焊,填角焊 branch connection分支接续 fabrication tolerance.制造容差 local heat treatment 局部热处理 threaded pipe螺纹管 seal welding.密封焊接 flange joint 凸缘接头 undercut 底切 feeder馈电线 conduit outlet电线引出口 seal fitting 密封接头, 密封配件 Screw thread lubricant螺纹润滑剂 Seal: 绝缘层 weld reinforcement 焊缝补强 lock washer 锁紧[止动, 防松]垫圈electrical panel.配电板,配电盘 nipple螺纹接头 zinc plated.镀锌的 ring joint 环接, 围缘接合 bolt 螺栓 control: 控制器 National Electrical Code 全国电气规程master schedule 主要图表, 综合图表, 设计任务书, 主要作业表 torque wrench 转矩扳手 job site 施工现场 flange connection.凸缘联接 Hard hat:安全帽 Goggles:护目镜 stockpile贮存 packing list装箱单 crate: 柳条箱 purchased material list原材料进货单 back-feed反馈 wire coil线盘,线卷, NPT thread. 美国标准锥管螺纹 cable gland 电缆衬垫 terminal block线弧, 接头排接线盒, 接线板, 线夹 power drill机械钻 connector. 接线器 insulated sleeve绝缘套管 wire connector接线器 wire terminal电线接头 control wiring控制线路motor lead电动机引出线 power wiring电力布线 tender document.提供证件 orifice plate.挡板 nut 螺母 flange gasket 法兰垫片 dimensional inspection 尺寸检验 burn through 烧蚀 piping system.管道系统 reinforcement of weld加强焊缝fabrication.制造 dye penetrant examination染料渗透试验法magnetic particle examination 磁粉检验girth weld环形焊缝 cement lined piping 水泥衬里 weld joint 焊缝, 焊接接头 spool drawing 管路图, 管路详图 spot test 抽查, 当场测试 butt weld 对接焊缝 Random Radiography随机射线照相检查radiographic examination 射线照相检查assembly.装配 erection 架设 examination试验 cable tray.电缆盘 rigid steel conduit 钢制电线管 power control 功率控制 arc welding 电弧焊 control cable控制电缆操纵索 normal bend 法向[法线]弯管 cable glands: 电缆衬垫 exfoliation剥落 power receptacle 电力插座 grounding conductor 接地导体 lighting fixture照明器材 junction box 分线箱 race way 电缆管道 terminal box接线盒 distribution board配电盘, 配电屏receptacle 插座 tumble switch.翻转开关,拨动式开关cathodic protection system 阴极保护系统Circuit breaker断路开关 amplifier panel 放大器盘 control console 控制台 electrical material电气材料convenience receptacle.电源插座 cable gland 电缆衬垫

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Acoustic Surface Wave 声表面波 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区 A/D conversion 模拟-数字转换 Adhesives 粘接剂 Admittance 导纳 Aging 老化 Airborne 空载 Allowed band 允带 allowance 容限,公差

Alloy-junction device合金结器件Aluminum(Aluminum) 铝 Aluminum – oxide 铝氧化物 Aluminum Nitride 氮化铝 Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度 A M light 振幅调制光,调幅光amplitude limiter 限幅器 Amorphous 无定形的,非晶体的Amplifier 功放放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Antenna 天线 Aperture 孔径 Arsenide (As) 砷 Array 阵列 Atomic 原子的 Atom Clock 原子钟

化工装置常用英语词汇对照

化工装置常用英语词汇对照 一概论 introduction 方案(建议书) proposal 可行性研究 feasibility study 方案设计concept design 工艺设计process design 基础设计basic design 详细设计detail design 开工会议kick-off meeting 审核会议review meeting 外商投资foreign investment 中外合资joint venture 中外合营joint venture 补偿贸易compensation trade 合同合同附件 contract 卖方vendor 买方buyer 顾客client 承包商contractor 工程公司company 供应范围scope of supply

生产范围production scope 生产能力production capacity 项目project 界区battery limit 装置plant 公用工程utilities 工艺流程图 process flow diagram 工艺流程方块图process block diagram 管道及仪表流程图 piping and instrument drawing 物料及热量平衡图 mass & heat balance diagram 蒸汽及冷凝水平衡图steam & condensate balance diagram 设备布置图 equipment layout 设备表equipment list 成品(产品) product(final product) 副产品by-product 原料raw-material 设计基础数据basic data for design 技术数据technical data 数据表data sheet 设计文件design document 设计规定design regulation 现场服务site service

日常最最常用英语词汇汇总

WORD格式 一、人称(Grammaticalperson) 祖父:grandfather父母:parents 祖母:grandmother儿女:children 姑姑:aunt成年人:adult 叔叔:uncle绅士:gentleman 父亲:father女士:lady 母亲:mother青年人:youngman 儿子:son男孩:boy 女儿:daughter女孩:girl 哥哥:elderbrother小孩:kid;child 弟弟:youngerbrother婴儿:infant;baby 姐姐:eldersister邻居:neighbor 妹妹:youngersister 堂、表兄弟姐妹:cousin 二、人体部位(bodyparts) 头:head手:hand 头发:hair手掌:palm 眼睛:eye手指:finger 鼻:nose臀部:hips;buttocks 耳朵:ear膝盖:kneecap;patella 嘴:mouth脚:foot 牙齿:tooth心:heart 舌头:tongue肝:liver 脸:face肺:lung 颈:neck胃:stomach 肩:shoulder血液:blood 手臂:arm 三、方向(direction)四、时间和季节(time&seasons) 东:east上午:morning 南:South中午:noon 西:West下午:afternoon 北:north夜晚:night 前:front过去:past 后:back现在:present 左:left未来:future 右:right春:spring 上:up夏:summer 下:down秋:autumn;fall 冬:Winter

微电子专业英语词汇

A Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区 Active component 有源元Active device 有源器件Activation 激活 Activation energy 激活能Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation 雪崩激发B brute-force attack 强力攻击Background carrier 本底载流子Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层 Barrier width 势垒宽度 Base 基极 Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间 Base transport efficiency 基区输 运系数 Base-width modulation 基区宽度 调制Basis vector 基矢 Bias 偏置 Bilateral switch 双向开关 Binary code 二进制代码 Binary compound semiconductor 二元化合物半导体 Bipolar 双极性的 Bipolar Junction Transistor (BJT) 双极晶体管 Bloch 布洛赫 Blocking band 阻挡能带 Blocking contact 阻挡接触 Body - centered 体心立方 Body-centred cubic structure 体 立心结构 Boltzmann 波尔兹曼 Bond 键、键合 Bonding electron 价电子 Bonding pad 键合点 Bootstrap circuit 自举电路 Bootstrapped emitter follower 自 举射极跟随器 Boron 硼 Borosilicate glass 硼硅玻璃 Boundary condition 边界条件 Bound electron 束缚电子 Breadboard 模拟板、实验板 Break down 击穿 Break over 转折 Brillouin 布里渊 Brillouin zone 布里渊区 Built-in 内建的 Build-in electric field 内建电场 Bulk 体/ 体内 Bulk absorption 体吸收 Bulk generation 体产生 Bulk recombination 体复合 Burn - in 老化 Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散 区 C Caesar cipher 凯撒加密法 capacitance 电容 capture categorize 分类 chaining mode 链接模式 challenge 质询 cipher feedback 加密反馈 collision 冲突 combine 集成 compatibility n.[计]兼容性 component 原件 confidentiality 保密性 constraint 约束 corresponding to 相应的 Cryptography 密码学 Can 外壳Capacitance 电容 Capture cross section 俘获截面 Capture carrier 俘获载流子 Carrier 载流子、载波 Carry bit 进位位 Carry-in bit 进位输入 Carry-out bit 进位输出 Cascade 级联 Case 管壳 Cathode 阴极 Center 中心 Ceramic 陶瓷(的) Channel 沟道 Channel breakdown 沟道击穿 Channel current 沟道电流 Channel doping 沟道掺杂 Channel shortening 沟道缩短 Channel width 沟道宽度 Characteristic impedance 特征阻 抗 Charge 电荷、充电 Charge-compensation effects 电 荷补偿效应 Charge conservation 电荷守恒 Charge neutrality condition 电中 性条件 Charge drive/exchange/sharing/transfer/st 1

工程英语-现场常见词汇

工程英语-现场常见词汇

Owner/Client/Customer/Company 业主 Buyer 买方 Seller/vendor 卖方 Licensor 专利商 Licensee 专利受让方 Third party 第三方 Patent 专利 Property/proprietory technology 专利技术 Know-how 专有技术/知识 Contractor 承包商 Subcontractor分包商 Supplier 供货商 Sub-supplier 分供商 Manufacturer 制造厂家 Limited liability 有限责任 Joint venture 合资企业 Foreign-funded enterprise 外商独资企业Chairman 董事长 Board of director 董事会 President/ General manager 总经理 Legal representative 法人 Authorized representative 授权代表 Power of attorney 委托书 Signature 签字 Official seal公章 SEI-Sinopec Engineering Incorporated 中国石化工程建设公司 SSEC-Sinopec Shanghai Engineering Company 中国石化上海工程公司 SNEC-Sinopec Ningbo Engineering Company 中国石化宁波工程公司 TCC-Tianchen Chemical Engineering Company 中国天辰化学工程公司 HQCEC- Huanqiu Contracting & Engineering Corp.中国寰球化学工程公司 LPEC-Luoyang Petrochemical Engineering Company 洛阳石油化工工程公司 LDI-Sinopec Lanzhou Design Institute 中国石化兰州设计院 Chengda Chemical Engineering Company 中国成达化学工程公司 Hualu Engineering Company中国华陆工程公司Aker Kvaerner 克瓦纳(英) Bechtel 柏克德(美) AMEC 阿美科(英) Fluor 福陆(美) Foster Wheeler 福斯特惠勒(美) Technip 德西尼布(法) TR-Técnicas Reunidas联合技术公司(西班牙)JGC 日晖(日) Toyo 东洋(日) ABB Lummus ABB鲁玛斯(美) SINOPEC 中石化 CNOOC中海油 PETROCHINA 中石油EXXONMOBIL 埃克森美孚(美) SHELL 英荷壳牌 BP 英国石油(英) BASF 巴斯夫(德) Chevron 雪佛龙(美) Dow Chemical 陶氏化学(美) Sumitomo 住友(日) Saudi Aramco 沙特阿美(沙特) UOP 环球油品公司(美) Project/Work项目/工程 Rabigh Development Project 拉比格炼油项目 Location 未置/地点 Onshore/domestic 国内、国产 Offshore/overseas 国外、进口 Plant 装置 Facility 设施 Unit 装置/单元 Permanent/temporary work 永久工程/临时工程 Project/Plant/Job/Construction Site现场 Battery limit 界区/红线 Fence 围墙 Embankment/bund 围堤 Containment 围护 Retaining wall 挡土墙 Onsite/ISBL-Inside Battery Limit 场内 Offsite/OSBL-Outside Battery Limit 场外 Contract/agreement 合同/协议 Memorandum of Understanding 谅解备忘录 Letter of Intent意向书 Original 原件/正本 Copy 复印件/副本 Duplicate 一式两份 Triplicate一式三份 Quadruplicate一式四份 Quintuplicate一式五份 Sextuplicate一式六份 Septuplicate一式七份 Octuplicate一式八份 Nonuplicate一式九份 Decuplicate一式两份 Blueprint 蓝图 Distribution 分发 Holder 持有人 Transmittal 文件传送单 Controlled copy 受控版本 Uncontrolled copy 非受控版本 Edition 版本 Revision 版次、修订版 Terms and conditions 条款 General conditions 通用条款 Special conditions 特殊条款 Sign/Execute/Enter into 签署 Come into force/effect生效 Effective Date 生效日期 Contract term 和同期 Expiration 届满、到期 Termination 提前终止 Obligation 义务 Liability(债务)责任 Performance 执行、业绩 Breach 违约 Default 失职 Negligence 疏怠职责 Remedy 救济、补救 Compensation 补偿 Performance guarantee 性能保证 Waiver 放弃/弃权 Severability 可分割性 Modification/Change/Variation/Change Order 变更/ 变更单Amendment 修订 Supplement/Addition/Addendum增加/增补 Deletion删除 Governing law 管辖法律 Assignment/transfer 转让 Insurance 保险 Worker’s compensation 工伤责任险 Employer’s liability 雇主责任险 All-loss liability 一切损失险 Policy保单 Insurance certificate 保险证书/凭证 QA-Quality Assurance质保 QC/Quality Control 质控 Procurement and supply 采购供应 Schedule and progress control 工期与进度控制 Reporting 报告 Acceptance 验收 Spare part 备件 Rework 返工 Repair 修补/修复/修理 Replacement 更换 Substitute 替换/代用 Warranty Period 保质期 Claim 索赔 HSE-Health, Safety and Environmental 健康、安全 与环境 HSSE- Health, Safety, Security & Environmental 健 康、安全与环境 Taxes 税款 Liquidated damages 违约赔偿 Invoice 发票 Payment 付款 Intellectual property right 知识产权 Confidentiality 保密 Disclosure 披露 Prior oral/written consent 事先口头/书面同意 Publication 公开/宣传 Suspension 停工 Take-over/hand-over 交工 Force Majeure 不可抗力 Lien 留置(权) 2

相关文档
最新文档