数电实验 实验七 计数器及其应用 数据

数电实验 实验七  计数器及其应用 数据
数电实验 实验七  计数器及其应用 数据

实验七计数器及其应用

一、实验目的

1.学习用集成触发器构成计数器的方法

2.掌握中规模集成计数器的使用方法及功能测试方法

3.运用集成计数器构成1∕N分频器

二、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数器的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1、用D触发器构成异步二进制加∕减计数器

图7-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T′触发器,再由低位触发器的Q端和高一位的CP端相连接。

图7-1

若将图7-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器

3.中规模十进制计数器74LS90,其内部是由四个下降沿J-K触发器组成的两个独立

CP为时钟脉冲输入端,Q0为输出端;另一个是异步五进计数器。一个是二进制计数器,

CP为时钟脉冲输入端,Q3Q2Q1为输出端。R0A、R0B称异步复位(清零)端,制计数器,

1

S9A、S9B称异步置9端。表7-1是该计数器功能表。由该表可见:

(1)复位端R0A= R0B=1以及置9端S9A或S9B之中有一个接“0”就实现计数器清零,即Q3Q2Q1Q0=0000。

(2)置9端S9A= S9B=1以及复位端R0A或R0B状态任意就实现计数器置“9”,即Q3Q2Q1Q0=1001。

(3)正常计数时,必须使R0A或R0B之中有一个接“0”,同时R9A或S9B之中有一个接“0”。

表7-1

输 入 端

输 出 端 复 位 端 置 9 端 Q 3

Q 2 Q 1 Q 0 R 0A R 0B S 9A S 0B 1 1 0 × 0 0 0 0 1 1 × 0 0 0 0 0 × × 1 1 1 0

1

0 × 0 × 计 数

× 0 × 0 0 × × 0 ×

×

由74LS90组成十进制计数器、六进制计数器的原理电路如图7-2(a )、(b )所示。在图7-2(a )中计数脉冲送入0CP 端,从Q 0输出端接1CP 端、这就组成8421BCD 码十进制加法计数器。其功能如表7-2(a )所示。

(a)十进制(8421BCD 码)计数器 (b )六进制计数器

图7-2 74LS90接成十进制、六进制计数器的原理图

图7-2(b )是采用反馈置零法组成的六进制计数器原理图。在该电路中将Q 1Q 2分别反馈到R 0A 、R 0B 复位端。计数器由0000开始计数到出现Q 3Q 2Q 1Q 0=0110,就使R 0A =R 0B =1,于是计数器强迫立即清零。这样0110

状态只是在第六个时钟脉冲下降沿瞬间出现,亦即计数器只能出现完整的六个状态,故称它为六进制计数器。其功能如表7-2(b )所示。

表7-2 计数器功能表

(a )8421BCD 码十进制计数器 (b) 六进制计数器 计数脉冲

输 出

计数脉冲

CP

输 出

CP

Q 3 Q 2 Q 1 Q 0 Q 3 Q 2 Q 1 Q 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 1 0 0 0 1 2 0 0 1 0 2 0 0 1 0 3

1

1

3

1

1

4 0 1 0 0 4 0 1 0 0

5 0 1 0 1 5 0 1 0 1

6 0 1 1 0 6 0 0 0 0

7 0 1 1 1

8 1 0 0 0

9 1 0 0 1

10 0 0 0 0

三、实验内容

1.用74LS74触发器构成4位二进制一步加法计数器。

(1)按图7-1连接,R D接至逻辑开关输出插口,将低位CP O端接单次脉冲源,输出端Q3、Q2、Q1、Q0接逻辑电平显示输入插口。

(2)清零后,逐个送入单次脉冲,观察并列表记录Q3~Q0状态。

(3)输入1KH Z的连续脉冲,用双踪示波器观察CP、Q3、Q2、Q1、Q0端波形,描绘之。

(4)将图7-1电路中的底位触发器的Q端与高一位的CP端相连接,构成减法计数器,按实验内容(2)、(3)进行实验,构成并列表记录Q3~Q0的状态。

加法减法

计数脉冲输出计数脉冲

CP 输出

CP

Q3 Q2 Q1 Q0 Q3 Q2 Q1 Q0

0 0 0 0 0 0 0 0 0 0

1 0 0 0 1 1 1 1 1 1

2 0 0 1 0 2 1 1 1 0

3 0 0 1 1 3 1 1 0 1

4 0 1 0 0 4 1 1 0 0

5 0 1 0 1 5 1 0 1 1

6 0 1 1 0 6 1 0 1 0

7 0 1 1 1 7 1 0 0 1

8 1 0 0 0 8 1 0 0 0

9 1 0 0 1 9 0 1 1 1

10 1 0 1 0 10 0 1 1 0

11 1 0 1 1 11 0 1 0 1

12 1 1 0 0 12 0 1 0 0

13 1 1 0 1 13 0 0 1 1

14 1 1 1 0 14 0 0 1 0

15 1 1 1 1 15 0 0 0 1

16 0 0 0 0 16 0 0 0 0

2.测试74LS90异步十进制计数器功能。

计数脉冲由单次脉冲源提供,R0A、R0B、S9A、S9B分别接逻辑开关,输出端Q3、Q2、Q1、Q0接指示器。按表7-3逐项测试并判断该集成块的功能是否正常。

表7-3

输入输出

复位端置9端Q3Q2Q1Q0

R0A R0B S9A S9B x x x x

1 1 0 ?0 1 1 0

1 1 ?0 1 0 0 1

?? 1 1 0 1 0 1

CP端加入手动单次脉冲,观3.用74LS90构成十进制和六进制,按图7-2接线,在0

察Q3、Q2、Q1、Q0状态记在自拟表格中。

五、实验预习要求

1.复习有关计数器部分内容

2.绘出各实验内容的详细线路图

3.拟出各实验内容所需的测试记录表格

4.查手册,给出并熟悉实验各集成块的引脚排列图

六、实验报告

1.画出实验线路图,记录、整理实验现象及实验所得的有关波形。

对实验结果进行分析。

总结使用集成计数器的。

计数器的设计实验报告

计数器的设计实验报告 篇一:计数器实验报告 实验4 计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是

CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图5-9-1所示。 图5- 9-1 CC40192引脚排列及逻辑符号 图中LD—置数端CPU—加计数端CPD —减计数端CO—非同步进位输出端BO—非同步借位输出端 D0、D1、D2、D3 —计数器输入端 Q0、Q1、Q2、Q3 —数据输出端CR—清除端 CC40192的功能如表5-9-1,说明如下:表5-9-1 当清除端CR为高电平“1”时,计数

器直接清零;CR置低电平则执行其它功能。当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3 置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CPD 接高电平,计数脉冲由CPU 输入;在计数脉冲上升沿进行8421 码十进制加法计数。执行减计数时,加计数端CPU接高电平,计数脉冲由减计数端CPD 输入,表5-9-2为8421 码十进制加、减计数器的状态转换表。加法计数表5-9- 减计数 2、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图5-9-2是由CC40192利用进位

集成计数器及寄存器的运用 实验报告

电子通信与软件工程 系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级: 姓名: 学号: 成绩: 同组成员: 姓名: 学号: --------------------------------------------------------------------------------------------------------------------- 一、 实验名称:集成计数器及寄存器的运用 二、实验目的: 1、熟悉集成计数器逻辑功能与各控制端作用。 2、掌握计数器使用方法。 三、 实验内容及步骤: 1、集成计数器74LS90功能测试。74LS90就是二一五一十进制异步计数器。逻辑简图为图8、1所示。 四、 五、 图8、1 六、 74LS90具有下述功能: ·直接置0(1)0(2)0(.1)R R ,直接置9(S9(1,·S,.:,=1) ·二进制计数(CP 、输入QA 输出) ·五进制计数(CP 2输入Q D Q C Q B 箱出) ·十进制计数(两种接法如图8.2A 、B 所示) ·按芯片引脚图分别测试上述功能,并填入表 8、1、表8、2、表8、3中。

图8、2 十进制计数器 2、计数器级连 分别用2片74LS90计数器级连成二一五混合进制、十进制计数器。 3、任意进制计数器设计方法 采用脉冲反馈法(称复位法或置位法)。可用74LS90组成任意模(M)计数器。图8、3就是用74LS90实现模7计数器的两种方案,图(A)采用复位法。即计数计到M异步清0。图(B)采用置位法,即计数计到M一1异步置0。 图8、3 74LS90 实现七进进制计数方法 (1)按图8、3接线,进行验证。 (2)设计一个九进制计数器并接线验证。 (3)记录上述实验的同步波形图。 四、实验结果:

数电实验报告 计数器

实验报告 实验七计数器原理测试及其设计 2.7.1 实验目的 1.掌握中规模集成计数器74LS160、74LS161、74LS163的逻辑功能及使用方法。 2.掌握同步清零与异步清零的区别及74LS160计数器的级联方法。 3.学习用中规模集成计数器设计任意进制计数器。 2.7.2 实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 74LS160,74LS161和74LS163。 2.7.3 实验原理 计数器的功能是记录输入脉冲的个数。他所能记忆的最大脉冲个数称为该计数器的模。计数器不仅能统计输入脉冲的个数,还可以用作分频、定时、产生节拍脉冲等。根据进位方式,可分为同步和异步两类。根据进制,可分为二进制、十进制和任意进制等。根据逻辑功能,可分为加法计数器、减法计数器和可逆计数器等。根据电路集成度,可分为小规模集成计数器和中规模集成计数器。 2.7.4 实验内容 1.分别用74LS161和74LS163设计模13计数器,采用清零法实现,并用数码管显示实验结果。 设计思路:74LS161是十六进制计数器,所以我在它计数到13(1101)清零就行了,再利用二进制数与BCD码对应关系,即利用74LS283的逻辑功能使数码管显示实验结果。计数时电路状态转换关系: 0000→0001→0010→0011→0100→0101→0110→0111→1000→1001→1010→1011→1100→0000

设计思路:74LS163接法与74LS161基本一样,只是163的清零信号是12不是13,如图: 2.设计一个用3位数码管指示的六十进制计数器,并用三只开关控制计数器的数据保持、计数及清零功能。 设计思路:用Cr=0控制计数器清零,用EP*ET=0控制计数器数据保持,用高低电平和CP脉冲进行与运算控制计数器计数功能。U1的清零信号是在计数到6时,U1清零的同时U3开始计数,这样就能实现用3位数码管指示的六十进制计数器。如图:

实验7 计数器

CHANGZHOU INSTITUTE OF TECHNOLOGY 题目7:计数器 二级学院(直属学部):延陵学院 专业:电气工程及其自动化班级:10电Y3 学生姓名:学号:

1、学习80C51单片机计数器的使用和编程 2、熟悉计数器中断处理程序的编程 二、实验电路

四、实验操作和调试 通过引脚T0从外部输入3个计数脉冲后触发中断,在T0的计数中断服务程序中,接在P0口的绿色LED闪烁3次,返回主程序。 引脚T1从外部输入6个计数脉冲后触发中断,在T1的计数中断服务程序中,接在P2口的红色LED闪烁6次,返回主程序。 T0和T1总的中断次数在P1口的7段BCD数码管中显示。 五、实验程序 #include #include #define TRUE 1 #define uchar unsigned char uchar time0IntCounter=0; //定时器0溢出计数 uchar time1IntCounter=0; //定时器1溢出计数 uchar totalIntTimes=0; //总中断次数 void time(unsigned int ucMs); //延时单位:ms

void main(void) { TMOD=0x066; //设置外部中断0和1为外部脉冲输入计数器,设工作方式1,16位计数器 TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TH1=0xFF;TL1=0xFA; //设置计数器1的初值FFFAH,6个计数脉冲产生中断 TR0=1; //开启定时器0 TR1=1; //开启定时器1 IE=0x8a; //开启定时器0和1中断 P1=totalIntTimes; //总中断次数送P1 while(1){} //等待定时器0和1中断 } /************定时器0中断服务程序*****/ void timer0(void) interrupt 1 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR0=0; //停止计时 totalIntTimes++; //总中断次数加1 P1= totalIntTimes; //总中断次数送P1 for(counter=0;counter<255;counter++) { P0=0; //点亮P0口LED1 time(300); //延时300ms P0=0xff; //熄灭P0口LED1 time(300); //延时300ms } TH0=0xFF;TL0=0xFD; //设置计数器0的初值FFFDH,3个计数脉冲产生中断 TR0=1; //开启定时器0 EA=1; //开总中断 } /************定时器1中断服务程序*****/ void timer1(void) interrupt 3 { unsigned char counter; //循环次数计数 EA=0; //关总中断 TR1=0; //停止计时 totalIntTimes++; //总中断次数加1 P1=totalIntTimes; //总中断次数送P1

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

实验六计数器及其应用

实验六计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 1、用D触发器构成异步二进制加/减计数器 图1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T'触发器,再由低位触发器的Q端和高一位的CP端相连接。 图1 四位二进制异步加法计数器 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示。 图2 CC40192引脚排列及逻辑符号 图中LD—置数端 CP U —加计数端 CP D —减计数端

CO—非同步进位输出端BO—非同步借位输出端 D 0、D 1 、D 2 、D 3 —计数器输入端 Q 0、Q 1 、Q 2 、Q 3 —数据输出端 CR—清除端 CC40192(同74LS192,二者可互换使用)的功能如表9-1,说明如下: 表9-1 3、计数器的级联使用 图3是由CC40192利用进位输出CO控制高一位的CP U 端构成的加数级联图。 图3 CC40192级联电路 4、实现任意进制计数 (1) 用复位法获得任意进制计数器 假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。如图4所示为一个由CC40192 十进制计数器接成的6进制计数器。 (2) 利用预置功能获M进制计数器 图4 六进制计数器

三、实验设备与器件 1、+5V直流电源 2、双踪示波器 3、连续脉冲源 4、单次脉冲源 5、逻辑电平开关 6、逻辑电平显示器 7、译码显示器 8、 CC4013×2(74LS74)、CC40192×3(74LS192)、CC4011(74LS00) CC4012(74LS20) 四、实验内容 1、用CC4013或74LS74 D触发器构成4位二进制异步加法计数器。 (1) 按图9-1接线,R D 接至逻辑开关输出插口,将低位CP 端接单次脉冲源, 输出端Q 3、Q 2 、Q 3 、Q 接逻辑电平显示输入插口,各S D接高电平“1”。 (2) 清零后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 状态。 (3) 将单次脉冲改为1HZ的连续脉冲,观察Q 3~Q 的状态。 (4) 将1Hz的连续脉冲改为1KHz,用双踪示波器观察CP、Q 3、Q 2 、Q 1 、Q 端波 形,描绘之。 5) 将图9-1电路中的低位触发器的Q端与高一位的CP端相连接,构成减法计 数器,按实验内容2),3),4)进行实验,观察并列表记录Q 3~Q 的状态。 2、测试CC40192或74LS192同步十进制可逆计数器的逻辑功能 (1) 清除:CR=1 (2) 置数:CR=0,数据输入端输入任意一组二进制数,令LD= 0,观察计数译码显示输出。 (3) 加计数:CR=0,LD=CP D =1,CP U 接单次脉冲源。 (4) 减计数:CR=0,LD=CP U =1,CP D 接单次脉冲源。 3、图9-3所示,用两片CC40192组成两位十进制加法计数器,输入1Hz连续计数脉冲,进行由00—99累加计数,记录之。 4、按图4电路进行实验,记录之。

数电实验报告

数字逻辑与数字电路实验报告 实验名称简易迷宫游戏

一、设计课题的任务要求 题目:简易迷宫游戏 设计并实现一个简易迷宫游戏机。 【基本要求】: 1、用8×8 点阵进行游戏显示。 2、迷宫游戏如图1 所示,采用双色点阵显示,其中红色LED 为迷宫墙壁,绿色LED表示人物。通过BTN0~BTN3 四个按键控制迷宫中的人物进行上下左右移动,使人物从起始点出发,走到迷宫的出口,游戏结束。 3、普通计时模式:通过按键BTN7 启动游戏,必须在30 秒内找到出口,否则游戏失败。用两个数码管进行倒计时显示。游戏胜利或者失败均要在8×8 点阵上有相应的画面出现。 4、迷宫中的人物在行走过程中,如果碰到墙壁,保持原地不动。 【提高要求】: 1、多种迷宫地图可以选择。 2、在计时的基础上增加计步的功能,每按一次控制按键步数加1,碰壁不计算步数,计步结果用数码管显示。 3、为游戏增加提示音乐,在不同时间段采用不同频率的信号控制蜂鸣器发声报警。 4、增加其他游戏模式。 5、自拟其它功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路: 依据题目要求,在实验中需要使用到8*8双色点阵输出迷宫图案,使用数码管输出计步步数和倒计时时间,使用蜂鸣器发出警报。由于实验要求需要使用到大量的按键输入。所以需要在输入输出模块中需要按键消抖模块。实验的输出模块共有点阵输出模块,数码管输出模块,蜂鸣器输出模块,在数码管和点阵输出中需要使用到扫描输出的概念。在游戏进行中需要实时判断并且记录人的位置,需要进行记时,计步,所以在整个系统中需要使用状态机进行当前状态转换,控制整个程序。所以在核心实现模块中包括行走模块,状态输出模块,计步模块,计时模块。 输入部分:消抖模块 时钟部分:多级分频器 控制部分:倒计时器,计步器,行走模块,状态机

实验七 计数器及其应用学生版

实验七计数器及其应用 一、实验目的 1.学习用集成触发器构成计数器的方法 2.掌握中规模集成计数器的使用方法及功能测试方法 3.运用集成计数器构成1∕N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数器的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、用D触发器构成异步二进制加∕减计数器 图7-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D触发器接成T′触发器,再由低位触发器的Q端和高一位的CP端相连接。 图7-1 若将图7-1稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器 三、实验内容 1.用74LS74触发器构成4位二进制一步加法计数器。 (1)按图7-1连接,R D接至逻辑开关输出插口,将低位CP O端接单次脉冲源,输出端Q3、Q2、Q1、Q0接逻辑电平显示输入插口。 (2)清零后,逐个送入单次脉冲,观察并列表记录Q3~Q0状态。 (3)将图7-1电路中的底位触发器的Q端与高一位的CP端相连接,构成减法计数器,按实验内容(2)、(3)进行实验,构成并列表记录Q3~Q0的状态。

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

实验十一 同步计数器的逻辑功能测试及应用

实验十一计数器74LS161的逻辑功能测试及应用 一、实验目的 1、熟悉集成计数器触的逻辑功能和各控制端作用。 2、掌握集成计数器逻辑功能测试方法。 3、掌握计数器使用方法。 二、实验设备与器件 1、实验设备:DLBS系列数字逻辑实验箱1个,MF47型万用表1台。 2、实验器件:74LS161集成同步计数器×2片,四二输入与非门74LS00×1块。 三、实训器件说明 1、 74LS161集成同步计数器 74LS161是一种同步四位二进制同步加法计数器,计数范围是0~15,具有异步清零、同步置数、保持和二进制加法计数等逻辑功能。图11.1所示为74LS161的管脚图和逻 辑功能示意图。图中CR端是异步清零控制端,当CR=0时,输出Q3Q2Q1Qo全为零,实现异步清除功能。LD是同步置数控制端,当CR=1,LD=0,且CP=CP↑时,输出 Q3Q2Q1Qo=D3D2D1Do,实现同步预置数功能。CTP和CTT是计数控制端,CP是上升沿有效的时钟脉冲输入端,D0~D3是并行数据输入端,Q0~Q3是计数输出端,CO是进位输出端,且进位输出信号CO=CTt=Q3Q2Q1Qo ,它可以用来实现电路的级联扩展。 74LS161的逻辑功能如表6.9所示。表中各控制输入端按优先级从高到低的次序排列, 依次为CR、LD、CTp和CTt,其中CR优先级最高。计数输出Q3为最高位,Qo为最低 位。

由表6.9可知,74LS161具有以下逻辑功能: (1)异步清零。当CR=0时,计数器清零,与CP脉冲无关,所以称为异步清零。(2)同步置数。当CR=1,LD=0 ,CP脉冲上升沿到来时,并行输入数据D3—Do被 置入计数器,计数器输出为D3D2D1Do 。由于置数发生在脉冲CP上升沿时段,故称为同步置数。 (3)保持功能。当CR=LD=1,且CTp?CTt=0时,输出Q3Q2Q1Qo=Q3Q2Q1Qo。保持不变。 (4)计数功能。当CR=LD=CTp=CTt=1时,且CP=CP↑时,计数器处于计数状态才开 始加法计数,实现计数功能。随着CP脉冲上升沿的到来,计数器对CP脉冲进行二进制加法计数,每来一个CP脉冲,计数值加“1”。当计数值达到15 时,进位输出CO为“1”。 2、由74LS161同步计数器构成任意(N)进制计数器方法 (1)直接清零法 直接清零法是利用芯片的复位端CR和与非门,将N所对应的输出二进制代码中等于“1”的输出端,通过与非门反馈到集成芯片的复位端CR,使输出回零。 例如,用74LS161芯片构成十进制计数器电路如图11.2所示。 (2)预置数法 预置数法是利用芯片的预置数端LD和预置输入端D3D2D1Do,因74LS161芯片的LD是同步预置数端,所以只能采用N-1值反馈法,其计数过程中不会出现过渡状态。例如图10.3所示的七进制计数器电路。

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验报告实验六计数译码显示综合实验整理版.docx

数电实验报告 实验六 计数、译码、显示综合实验 姓名: 学号: 班级: 院系: 指导老师: 2016年

目录 实验目的: (22) 实验器件与仪器: (22) 实验原理: (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 用同步清零端或置数端置零或置数构成N进制计数器 (33) 实验内容: (44) 实验过程: (55) 实验总结: (66) 实验: 实验目的: 1.熟悉中规模集成电路计数器的功能及应用。 2.熟悉中规模集成电路译码器的功能及应用。 3.熟悉LED数码管及显示电路的工作原理。 4.学会综合测试的方法。 实验器件与仪器: 1.实验箱、万用表、示波器。

2.74LS160、74LS48、74LS20 实验原理: 对于计数规模小的计数器,我们使用集成触发器来设计计数器,但是如果计数器的模数达到十六以上(如六十进制)时,如果还是用集成触发器来设计的话,电路就比较复杂了。在这种情况下,我们可以用集成计数器来构成任意进制计数器。利用集成计数器的清零端和置数端实现归零,从而构成按自然态序进行计数的N进制计数器的方法。 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N-1的二进制代码。 2)求归零逻辑,即求同步清零端或置数控制端信号的逻辑表达式 3)画连线图 用同步清零端或置数端置零或置数构成N进制计数器用这种方法的实现步骤如下: 1)写出状态S N得二进制代码 2)求归零逻辑,即求异步清零端或置数控制端信号的逻辑表达式

3)画连线图 在集成计数器中,清零、置数均采用同步方法的有74LS163;均采用异步方法的有74LS193、74LS197、74LS192;清零采用异步方法、置数采用同步方法的有74LS161、74LS160;有的只具备异步清零功能,如CC4520、74LS190、74LS191;74LS90则具有异步清零和异步置9功能。 实验内容: 1.用集成计数器74LS160分别组成8421码十进制和六进制计数器, 然后连接成一个60进制计数器(6进制为高位,10进制位低位)。 使用实验箱上的LED译码显示电路显示(注意高低位顺序及最高位的处理)。用函数发生器的低频连续脉冲(调节频率为1-2Hz)作为计数器的计数脉冲。通过数码管观察计数、译码、显示电路的功能是否正确。 2.设计一个时间计数器,具有分钟和秒计时功能的计数器。

实验7 74ls160组成n进制计数器

实验7 74ls160组成n进制计数器 一、实验内容 1.掌握集成计数器的功能测试及应用 2.用异步清零端设计6进制计数器,显示选用数码管完成。 3.用同步置0设计7进制计数器,显示选用数码管完成。 二、演示电路 74LS160十进制计数器连线图如图1所示。 图1 74LS160十进制计数器连线图 74161的功能表 如表1所示。由表1可知,74161具有以 下功能: ①异步清 零 当CR(C L R’)=0时,不管其他输入端的状态如何(包括时钟信号C P),计数器输出将被直接置 零,称为异步清零。

②同步并 行预置数 在CR=1的条件下,当 LD(L O A D’)=0、且有时 钟脉冲C P的上升沿 作用时,D0、D1、D2、D3输入端的数据将 分别被Q0~Q3所接 收。由于这个置数操 作要与C P上升沿同步,且D0、D1、D2、 D3的数据同时置入计 数器,所以称为同步 并行置数。 ③保持 在CR=LD=1的条件 下,当E N T=E N P=0,即 两个计数使能端中有 0时,不管有无C P脉 冲作用,计数器都将 保持原有状态不变 (停止计数)。需要说 明的是,当E N P=0, E N T=1时,进位输出C 也保持不变;而当 E N T=0时,不管E N P 状态如何,进位输出 R C O=0。

④计数 当 CR=LD=E N P=E N T=1时, 74161处于计数状态, 电路从0000状态开 始,连续输入16个计 数脉冲后,电路将从 1111状态返回到0000 状态,R C O端从高电 平跳变至低电平。可 以利用R C O端输出的 高电平或下降沿作为 进位输出信号。 连上十进制加法计数器160,电路如图1所示,给2管脚加矩形波,看数码管显示结果,并记录显示结果。 三、用160和与非门组成6进制加法计数器-用异步清零端设计 74160从0000状 态开始计数,当输入 第6个C P脉冲(上

秒篮球倒计时数电实验报告

秒篮球倒计时数电实验报告

————————————————————————————————作者:————————————————————————————————日期:

法商学院 《数字电路课程设计》 课程设计报告 专业:应用电子技术 班级:应电11301 姓名:周灵 姓名:李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。 图1-1 24秒计时器系统设计框图 二、单元电路分析

实验四 计数器及其应用

实验四计数器及其应用 一、实验目的 l、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成l位分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 l、用D触发器构成异步二进制加/减计数器 图4-1是用四只D触发器构成的四位二进制异步加法计数器,它的连接特点是将每只D 触发器接成T’触发器,再由低位触发器的Q端和高—位的CP端相连接。 图4-1 四位二进制异步加法计数器 若将图4-l稍加改动,即将低位触发器的Q端与高一位的CP端相连接,即构成了一个4位二进制减法计数器。 2、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能,具引脚排列及逻辑符号如图4-2所示。

图4-2 CC40192引脚排列及逻辑符号 图中LD一置数端CP L一加计数端CP D一减计数端 CO一非同步进位输出端BO一非同步借位输出端 D0、D1、D2、D3一计数器输入端 Q0、Q1、Q2、Q3一数据输出端CR一清除端 CC40192(同74LS192,二者可互换使用)的功能如表4-1,说明如下:表4-1 当清除端CR为高电平“1”时,计数器直接清零;CR置低电平则执行其它功能。 当CR为低电平,置数端LD也为低电平时,数据直接从置数端D0、D1、D2、D3置入计数器。 当CR为低电平,LD为高电平时,执行计数功能。执行加计数时,减计数端CP D接高 电平,计数脉冲由CP U输入;在计数脉冲上升沿进行842l码十进制加法计数。执行减计数时,加计数端CPu接高电平,计数脉冲由减计数端CP D输入,表4-2为8421码十进制加、减计数器的状态转换表。 表4-2 3、计数器的级联使用 一个十进制计数器只能表示0~9十个数,为了扩大计数器范围,常用多个十进制计数器级联使用。 同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。 图4-3是由CC40192利用进位输出CO控制高一位的CP U端构成的加数级联图。

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

实验7-集成计数器-(实验报告要求)

集成计数器 --实验报告要求 一、实验目的(0.5分) 1.熟悉中规模集成电路计数器的功能及应用。 2.掌握利用中规模集成电路计数器构成任意进制计数器的方法。 3. 掌握计数器的典型应用。 计数器对输入的时钟脉冲进行计数,来一个CP脉冲计数器状态变化一次。根据计数器计数循环长度M,称之为模M计数器(M进制计数器)。通常,计数器状态编码按二进制数的递增或递减规律来编码,对应地称之为加法计数器或减法计数器。 一个计数型触发器就是一位二进制计数器。N个计数型触发器可以构成同步或异步N 位二进制加法或减法计数器。当然,计数器状态编码並非必须按二进制数的规律编码,可以给M进制计数器任意地编排M个二进制码。 在数字集成产品中,通用的计数器是二进制和十进制计数器。按计数长度、有效时钟、控制信号、置位和复位信号的不同有不同的型号。 1.74LS161计数器 74LS161是集成TTL四位二进制加法计数器,其符号和管脚分布分别如下图1所示: 表 1为74LS161的功能表:表1 A B C D

从表1在为低电平时实现异步复位(清零需要时钟信号。在复位端高电平条件下,预置端LD 为低电平时实现同步预置功能,即需要有效时钟信号才能使输出状态 等于并行输入预置数A B C D 。在复位和预置端都为无效电平时,两计数使能端输入使能信号,74LS161实现模16加法计数功能;两计数使能端输入禁止信号, ,集成计数器实现状态保持功能, 。在时,进位输出端 OC=1。 2.组成任意进制的计数器 在数字集成电路中有许多型号的计数器产品,可以用这些数字集成电路来实现所需要的计数功能和时序逻辑功能。在设计时序逻辑电路时有两种方法,一种为反馈清零法,另一种为反馈置数法。 (1)反馈清零法 反馈清零法是利用反馈电路产生一个给集成计数器的复位信号,使计数器各输出端为零(清零)。反馈电路一般是组合逻辑电路,计数器输出部分或全部作为其输入,在计数器一定的输出状态下即时产生复位信号,使计数电路同步或异步地复位。反馈清零法的逻辑框图见图 2。 图2 反馈清零法框图 (2)反馈置数法 反馈置数法将反馈逻辑电路产生的信号送到计数电路的置位端,在滿足条件时,计数电路输出状态为给定的二进制码。反馈置数法的逻辑框图如图 3所示。 图 3 反馈清零法框图 在时序电路设计中,以上两种方法有时可以并用。 Q 0 n-10

实验七计数器及其应用

实验七计数器及其应用 The Standardization Office was revised on the afternoon of December 13, 2020

实验七计数器及其应用 一、实验目的 1、学习用集成触发器构成计数器的方法 2、掌握中规模集成计数器的使用及功能测试方法 3、运用集成计数计构成1/N分频器 二、实验原理 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1、中规模十进制计数器 CC40192是同步十进制可逆计数器,具有双时钟输入,并具有清除和置数 等功能,其引脚排列及逻辑符号如图7-1

图7-1 CC40192引脚排列及逻辑符号 图中 LD —置数端 CP U —加计数端 CP D —减计数端 CO —非同步进位输出端 BO —非同步借位输出端 D 0、D 1、D 2、D 3 —计数器输入端 Q 0、Q 1、Q 2、Q 3 —数据输出端 CR —清除端 CC40192(同74LS192,二者可互换使用)的功能如表7-1,说明如下: 表7-1 当清除端CR 为高电平“1”时,计数器直接清零;CR 置低电平则执行其它功能。 当CR 为低电平,置数端LD 也为低电平时,数据直接从置数端D 0、D 1、D 2、D 3 置入计数器。当CR 为低电平,LD 为高电平时,执行计数功能。执行加计数时,减计数端CP D 接高电平,计数脉冲由CP U 输入;在计数脉冲上升沿进行 8421 码十进制加法计数。执行减计数时,加计数端CP U 接高电平,计数脉冲由减计数端CP D 输入,表9-2为8421码十进制加、减计数器的状态转换表。 表7-2 加法计数

相关文档
最新文档