数字钟(闹钟+秒表+整点报时+校时)

数字钟(闹钟+秒表+整点报时+校时)
数字钟(闹钟+秒表+整点报时+校时)

总结设计报告

数字钟

2017-3-16

自动化B

设计内容简介

数字钟的主要由74160计数器、7447译码器和显示电路来实现基本功能,而校时、整点报时、秒表和闹钟电路实现其扩展功能。整个电路的秒脉冲(即1HZ)由事先封装好的分频电路产生,秒脉冲送入74160计数器,‘秒’‘分’‘时’的计数器分别送到对应的译码器,然后再将信号送到显示电路显示时间。本次数字钟的设计计时周期为24小时制,显示满刻度为23时59分59秒,星期显示方面为周一~周六+周日。扩展功能的校时电

路为自动校时,在校准‘分’‘时’显示后,可以在这个数的基础上继续运行,在整点

报时功能中,当数字钟运行至整点前4秒时(例:23时59分56秒)即开始报时,持续5秒后停止,整点报时功能不可手动关闭。秒表功能方面,设计给定脉冲为100HZ,为4位显示,最大设计计时为60.99秒,可以手动暂停/开始和清零。最后是闹钟功能,闹钟设计响应时间为60秒,并且可以手动开启/关闭闹钟。

设计框图

单元模块设计

一、‘秒’电路

秒电路由两片74161计数器加秒脉冲来实现60进制的计数,再通过两片7447译码

器将信号给到显示模块来实现秒的功能。

二、‘分’电路

‘分’电路和秒电路一样为60进制,由两片74160计数器和秒进位脉冲来实现分功能,再由7447译码器将信号给至显示电路

三、‘时’电路

‘时’电路和‘秒’‘分’电路有所不同,‘时’电路为24进制,可由两片74160计数器和分进位脉冲实现‘时’功能,再由译码器将信号给显示电路。

四、星期电路

基本功能中星期显示最为复杂,因为计数器默认初始值为0,可能导致下载至实验箱初始星期显示为0,另外根据要求需显示星期一、二、三、四、五、六、日,又加大了星期电路的设计难度,为解决上述问题,此处采用逻辑门通过一些逻辑关系来实现星期功能。

QD QC QB QA D C B A

(0)0 0 0 0 0 0 0 1 (1)

(1)0 0 0 1 0 0 1 0 (2)

(2)0 0 1 0 0 0 1 1 (3)

(3)0 0 1 1 0 1 0 0 (4)

(4)0 1 0 0 0 1 0 1 (5)

(5)0 1 0 1 0 1 1 0 (6)

(6)0 1 1 0 1 0 0 0 (8)

通过真值表对应的关系,画出卡诺图,化简得到A、B、C、D与QA、QB、QC、QD对应的逻辑关系,虽然逻辑电路比较复杂,但是很好的解决了计数器默认初始值为0导致星期显示存在0的情况,并且实现了周六到周日到周一的完美跳转。

五、校时功能

当重新接通电源或走时出现误差时都需要进行校正,本次校时电路采用的是自动校时,校准对象为‘分’‘时’‘星期’。

初始QN端口数据为1

在没有进位脉冲和校时需求的情况下,校时取非(位0)再与1与非为1,校时(为1)与进位端(为0)取与非为1,两个1信号再取与非后为0,输出信号给到低位的置数端,此时0信号使其既不计数也不校时。

而在有进位脉冲而没有校时需求的情况下,校时端取非(为0)再和1与非为1,校时(为1)与进位端(为1)取与非为0, 此后1再与0取与非得到1,此时1信号使其计数直到进位脉冲消失(即计数一个脉冲)。

最后在有校时需求的情况下,由于T触发器的作用,使校时翻转为0,校时取非(为1)再和1与非得到0,另外无论进位信号是1还是0和校时(为0)取与非均为1,此后0再和1与非得到1,自动校时功能打开,再次给T触发器脉冲即可关掉校时。

六、整点报时功能

一般电子钟都具有整点报时功能,即在时间出现整点时,电子钟会自动报时,以示提醒。其作用方式为秒计数的个位和十位以及分计数的个位和十位都为零时,触发发声器,即其全部为0000的时候,将这16位全部接到非门,将低电平转换成高电平,然后两两相与,最后输出接上发声器,即可实现正点报时功能,本次报时时间延长至5秒从56秒时蜂鸣器便发出声响直至整点。

七、秒表功能

秒表功能实现计时,最大计时时间为60.99秒

八、闹钟功能

闹钟功能的实现运用了8位数字比较器74688,来比较闹钟设定时间和当前数字钟运行时间,当其一致时触发蜂鸣器。

当前闹钟功能和秒表均可单独运行,但需让其能够在屏幕上显示,就涉及到屏幕复用的问题,要让屏幕能够实现数字钟和闹钟秒表的切换,且切换至秒表闹钟功能后数字钟仍在后台运行,就要运用到8位2选一数据选择器:

经过闹钟、秒表、校时扩展功能的添加,导致实验台按键不足,key1、key2、key3分别校时‘分’、‘时’‘星期’,key4用来手动清零秒表,key5是开始和暂停秒表计时,key6和key7原计划用来设定闹钟的时间,key8切换屏幕显示,这样一来闹钟功能就无法关闭,一旦到了设定的时间便会持续响一分钟,这显然是不符合常理的,所以决定添加按键复用,通过将key1和key8通过一些逻辑关系,实现了在数字钟显示时key1用来校准‘分’电路,而当切换至秒表和闹钟功能时,key1又可以用来调整闹钟的分钟位,相应的将key2和key8联合,实现了在数字钟显示时key2为‘时’校准按键,切换至秒表和闹钟功能时,key2同样可以调整闹钟的‘时’,至此key6和key7按键空出,再将闹钟开启和关闭功能赋予于key6,这样一来闹钟便可以自由开启和关闭,并且还多出一个key7按键,为日后增加其他扩展留下了可能。

九、总结

大二上学期,我们就学习了电子技术基础(数字部分和模拟部分),光凭课本上的知识,显得非常的枯燥无趣,并且我们也不是很清楚这个学科的具体用途,但是通过这两周的课程设计,收获可谓颇多,不仅让我学习了如何通过网络去查阅自己所需要的资料,还让我深入得运用了数电的知识去独立设计了数字钟,不论是结果还是过程,都十分的让人印象深刻,通过将自己所学的知识运用到现实生活的物件,让我们了解到大学所学知识的用途,这样更加激励我们在以后的学习中投入更多的精力。

通过这次课程设计不光有收获,而且还有一些对以后这类课程设计的反思:设计的过程中要预先给自己将来扩展留足够的空间,否则会造成电路十分的混乱,倘若出现逻辑问题,就十分的难被发现,事先将各个模块封装好这样修改电路也是个别模块的小修小改,另外在动手连接电路之前,可以再多想想有没有更加简洁的逻辑,否则太过复杂的逻辑造成电路响应时间延长,引起不必要的麻烦。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

整点报时数字钟设计

信息与电气工程学院 课程设计说明书 课程名称:整点报时数字钟 题目:整点报时数字钟 专业班级:电气工程及其自动化07-05 学生姓名: 学号: 指导教师:崔春艳 设计周数:2周 设计成绩:

1. 课程设计目的……………………………………………………… 2 .课程设计的要求…………………………………………………… 3. 数字钟方案设计…………………………………………………… 3.1方案设计…………………………………………………………… 3.2数字钟逻辑框架图………………………………………………… 4. 单元电路的设计和元器件的选择………………………………… 4.1 时钟秒脉冲的产生………………………………………………… 4.2 六十进制计数电路的设计………………………………………… 4.3 双六十进制计数电路设计………………………………………… 4.4 二十四进制计数电路的设计……………………………………… 4.5 译码驱动及显示单元电路设计…………………………………… 4.6 整点报时器单元电路……………………………………………… 4.7 校正单元电路的设计……………………………………………… 5.数字钟的PCB 板图的设计…………………………………………… 5.1PROTEL99的使用…………………………………………………… 5.2具体工艺要求和相关规则………………………………………… 5.3 注意事项………………………………………………………… 6.系统调试……………………………………………………………… 6.1 系统调试方法……………………………………………………… 6.2调试出现的问题及解决方法……………………………………… 7. 元器件清单………………………………………………………… 8. 主要元件介绍……………………………………………………… 9. 课程设计总结和心得体会………………………………………… 9.1 设计过程中遇到的问题及解决方法……………………………… 9.2 个人体会…………………………………………………………… 10. 参考文献……………………………………………………………附录…………………………………………………………………… 1 数字钟原理图……………………………………………………… 2 数字钟PCB板………………………………………………………课程设计评语表格……………………………………………………

数字钟的设计与制作过程

数字钟的设计与制作 一、设计指标 1. 显示时、分、秒。 2. 可以24小时制或12小时制。 3. 具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借 用电路中的时钟。 4. 具有正点报时功能,正点前10秒开始,蜂鸣器1秒响1秒停地响5次。(选做) 5. 为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。 二、设计要求 1. 画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输 路径、方向和频率变化,并以文字对原理作辅助说明。 2. 设计各个功能模块的电路图,加上原理说明。 3. 选择合适的元器件,并选择合适的输入信号和输出方式,在面包板上接线验证、调试各个功能模块的电路。在确 保电路正确性的同时,输入信号和输出方式要便于电路的测试和故障排除。(也可选用Mutisim仿真) 4. 在验证各个功能模块基础上,对整个电路的元器件和布线,进行合理布局,进行整个数字钟电路的接线调试。 三、制作要求 自行在面包板上装配和调试电路,能根据原理、现象和测量的数据检查和发现问题,并加以解决。 四、设计报告要求 1. 格式要求(见附录1) 2. 内容要求 ①设计指标。 ②画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。 ③列出元器件清单,并画出管脚分配图和芯片引脚图。 ④画出各功能模块的电路图,加上原理说明(如2、5进制到10进制转换,10进制到6进制转换的原理,个位到 十位的进位信号选择和变换等)。 ⑥画出总布局接线图(集成块按实际布局位置画,关键的连接应单独画出,计数器到译码器的数据线、译码器到数 码管的数据线可以简化画法,但集成块的引脚须按实际位置画,并注明名称)。 ⑦数字钟的运行结果和使用说明。 ⑧设计总结:设计过程中遇到的问题及解决办法;设计过程中的心得体会;对课程设计的内容、方式等提出建议。 五、仪器与工具 1. 直流电源1台。 2. 四连面包板1块。 3. 数字示波器(每两人1台) 4. 万用表(每班2只)。 5. 镊子1把。 6. 线剥钳1把。 7. 斜口钳1把。

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字时钟电路

摘要 数字钟就是一种用数字电路技术实现日、时、分、秒计时的装置,与传统的机械式时钟相比,具有更高的准确性与直观性,且无机械传动装置,具有更更长的使用寿命,因此得到了广泛的使用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接成输出1000秒的多谐振荡器,然后经过74LS90构成的分频器输出1HZ的秒脉冲,用74LS160(10进制计数器)连接成60与24进制的计数器,再通过七段数码管显示,外加上校时电路,整点报时电路即构成了简单数字钟。扩展电路可实现定点报时功能。 关键字:多谐振荡器;分频器;计时电路;闹钟电路;校时电路;整点报时电路 目录 1 设计内容及要求 0 1、1设计目的 0 1、2设计内容与要求 0 1、3创新部分 0 2 系统总体设计方案 0 2、1 数字时钟的组成 0 2、2原理分析 0 2、3基本逻辑功能框图 (1) 3 器件选择 (1) 3、1 555集成定时器 (1) 3、2 74LS160 (2) 3、3 LED显示屏 (3)

3、4 4位十进制同步可逆计数器74LS90 (4) 3、5 4位数值比较器74LS85 (5) 4 数字时钟的电路设计 (7) 4、1 时钟振荡电路 (7) 4、1、1 555多谐振荡器产生1KHz (7) 4、1、2 时钟信号发生电路 (7) 4、1、3 时钟振荡电路的Multisim仿真 (8) 4、2 分频器电路 (9) 4、3秒脉冲发生器电路 (10) 4、4 分脉冲发生器电路 (11) 4、5 时脉冲发生器电路 (12) 4、6 校时电路 (12) 4、7 整点报时电路 (13) 4、8闹钟功能电路 (15) 4、9 数字时钟总仿真电路图 (16) 5 心得体会 (17) 5、1 关于数字时钟的心得体会 (17) 5、2 关于收音机的焊接与调试心得体会 (18) 参考文献 (19)

数字电子钟(计时、校时以及整点报时)数电课程设计报告

设计要求 1.用秒脉冲作信号源,构成数字钟,显示秒、分、时 2.具有“对时”功能,即时间可以快速预置 3.具有整点提示功能。一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。 系统框图 设计过程 时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。 整点报时模块电路用的是555芯片和一块CD4068芯片组成的电

路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。 秒信号发生器可以用实验箱上的秒脉冲信号代替。 考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。 电路仿真与设计 3.1所需芯片及芯片管脚图 CD4518 CD4068 CD4002 CD4011

CD4069 555 3.2时、分、秒显示电路模块设计 整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。 上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

数字钟的设计与制作

数字钟的设计与制作 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。 从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计数字钟的方法。 1 数字钟的基本组成及工作原理 1.1数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图1.1所示为数字钟的一般构成框图。

图1.1 数字钟的组成框图 ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 ⑷译码驱动电路 译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。 ⑸数码管 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。 1.2数字钟的工作原理 1)晶体振荡器电路 晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。 一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,如图1.2所示,从图上可以看出其结构非常简单。该电路广泛使用于各种需要频率稳定及准确的数字电路,如数字钟、电子计算机、数字通信电路等。

数字钟的设计(含有闹钟功能)

# 综合设计报告~ 设计名称:数字逻辑综合设计报告 设计题目:数字电子钟 学生学号: 专业班级: … 学生姓名: 学生成绩: 指导教师(职称): 课题工作时间:至

目录 摘要……………………………………………………………………………………… II Abstract …………………………………………………………………………………... II 第一章课题背景(或绪论、概述) (1) 数字电子钟应用 (1) 电子钟的应用前景 (x) 第二章设计简介及设计方案论述 (x) 设计原理简介 (x) 设计方案论述 (x) 设计目的概述 (x) 第三章详细设计 (x) 实验元件及介绍 (x) 模块的详细设计 (x) 3.2.1 二十四时制显示模块 3.2.2 校时电路模块 3.2.2 整点报时模块 3.2.2 闹钟模块 第四章设计结果及分析 (x) 设计电路 (x) 运行结果及分析 (x) 结果分析 (x) 总结 (x) 致谢 (x)

参考文献 (x) 附录主要程序代码 (x) 摘要 【关键词】电子钟、校时电路、比较器、整点报时 数学逻辑课程设计选题是电子钟的设计,运用 SP3版仿真软件进行电子钟的设计。主要原理是由555芯片及门电路产生多谐震荡,输出稳定的为1HZ秒脉冲,作为时间基准。秒计时器满60向分计时器仅为,分计时器满60向小时计时器进位,小时计时器以24为一个周期,并实现了小时高位具有零熄灭的功能,计时器的输出送到显示屏,课在相应位置正确显示时、分。秒。计时出现误差或者调整时间时用校时电路进行时、分的调整。而且添加了整点报时功能,并利用比较器实现了闹钟功能。 Abstract 【Keywords】Digital clock, divider chip, the decoder chip, the campus circuit, the whole point alarm The desigining topic of the electronic technology course is the digital clock. The main principle by the oscillator transistor multivibrator oscillation, after the output frequency divider and stable pulse,60 seconds over the counter sub-counters to carry, at least 60 minutes to the hour counter binary counter, hours counter for a period of 24 and achieve a high level with zero off-hour functions. Counter displays the output sent by the decoder can be displayed correctly in the appropriate location, minutes, second. Timing errors, or adjust the time when the school when the circuit is available, the minutes of the adjustment. In this circuit, The whole point alarm will last 10 seconds per hour.

数字钟电路设计

本次设计题目:数字钟电路设计 1 简述 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。在控制系统中也常用来做定时控制的时钟源。 2 题目要求 (1)具用时、分、秒十进制数字显示的计时器功能; (2)具有手动校时、校分的功能; (3)通过开关能实现小时的十二进制和二十四进制转换; (4)具有整点报时功能。 主要集成芯片: 计时单元74160 报时单元74192 3 总体方案设计 数字钟由振荡器、分频器、计数器、译码显示、报时等电路组成。其中振荡器和分频器组成标准秒信号发生器,直接决定计时系统的精度。由不同进制的计数器、译码器和显示器组成计时系统。将标准秒信号送入采用60进制的“秒计数器”,每累计60sec就发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60min,发出一个“时脉冲”,该信号将被送到“时计数器”。“时计数器”采用12或24进制计数器,可实现对一天12h 或24h的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过6位7段译码显示器显示出来,可进行整点报时,计时出现误差时,可以用校时电路校时、校分。数字钟的原理框图如图2.1所示。

时显示器校分控制电路 校时控制电路秒计时器 分计时器时计时器秒显示器 分显示器报时分频 晶振 图2.1 数字钟原理框图 4 单元电路设计提示 本题目的设计采用自下而上的层次电路设计法。先设计单元电路,再设计总电路。 (1) 秒脉冲产生电路 秒脉冲产生电路在此例中的主要功能有两个:一是产生标准脉冲信号,二是可提供整点报时所需要的频率信号。可用1Hz 的秒脉冲时钟信号源替代。 V11 Hz 5 V 图2.2 1Hz 的秒脉冲时钟信号源 (2) 秒、分、时计时器电路 秒计时器本质上为对1Hz 的秒脉冲时钟信号源进行60进制计数的计数器,其由一个10进制计数器(个位)和一个6进制计数器(十位)串接组成。个位与十位计数器之间采用同步级联复位方式,将个位计数器的进位输出端RCO 接至十位计数器的时钟信号输入端CLK ,完成个位对十位计数器的进位控制。十位计数器选择Q B 和Q C 端做反馈端,经与非门输出至控制清零端CLR ,形成6进制计数形式。十位

整点报时数字钟课程设计

整点报时数字钟课程设计

信息工程学院 课程设计报告书(2009 /2010 学年第二学期) 课程名称:电子技术课程设计题目:能整点报时的电子表专业班级:自动化111 学生姓名:胡义海 学号:6100311301 指导教师:康耀明 设计成绩:

1课程设计目的 (1) 2系统的方案设计 (1) 3 系统的详细设计 (3) 3.1脉冲产生和分频电路 (3) 3.1.1脉冲产生和分频电路的设计 (3) 3.1.2馆建器件74 LS74的介绍 (4) 3.1.3关键器件CD4060的介绍 (5) 3.2计时电路 (7) 3.2.1分,秒计时电路的设计 (7) 3.2.2小时计时电路的设计 (9) 3.2.3关键器件CD4510的介绍 (10) 3.3显示译码电路 (12) 3.3.1显示译码电路的设计 (12) 3.3.2关键器件CD4511和数码管的介绍 (13) 3.4校时电路 (15) 3.4.1校时的电路的详细设计 (15) 3.4.2关键器件RS触发器的详细介绍 (16) 3.5整点报警电路 (19) 4 心得体会 (24) 5 参考文献 (25)

1课程设计目的 ※让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; ※提高电路布局﹑布线及检查和排除故障的能力;※培养书写综合实验报告的能力。 2系统的方案设计 2.1简述 数字电子钟是一种用数字显示秒﹑分﹑时﹑日的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑码头﹑机场等公共场所的大型数显电子钟。 2.2课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结

数字钟综合设计与制作

《数字系统与逻辑设计实验》实验报告题目数字钟电路设计与PCB图设计 学院:信息工程学院系电子信息工程 专业: 班级: 学号: 学生姓名: 指导教师:

递交日期:

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合■设计□创新实验日期:2018/1/6 实验成绩:“数字钟电路设计与PCB图设计”实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计; 3、了解电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、3D实物图等。 三、实验原理及电路设计: 1、设计方案与模块框图 利用NE555构成自激多谐振荡器,输出一个频率为1024Hz的脉冲信号。因为数字钟需要的是1Hz的信号,所以需要进行分频处理。这里采用了1024分频,利用三片74LS161分别进行8分频、8分频和16分频,最终得到1Hz的脉冲信号。60秒为1分钟,所以需要一个60进制的计数器。这里还是使用74LS161,通过同步置数进行循环,秒计数每满60向分计数进1,然后自身清零。60分钟为1小时,所以分计数采用的方法和秒计数一样。当分计数和秒计数同时进位时,扬声器发声,即为整点报时。12小时制采用12进制计数器,24小时制采用24进制计数器,两种时制的切换可以通过单刀双掷开关完成。我们在秒进位和分进位处人为地产生一个上升沿,可以完成一次进位,达到校时的目的。时分秒的通过共阴极七段数码管来显示,数码管需要74LS48进行译码。

报时数字钟的设计

数字系统设计实习报告 课题 : 报时式数字钟的设计 系别:自动化 班级学号: 10-1(25) 姓名:张小康 指导老师:赵莹 2012.5.28-6.1 北华大学电气信息工程学院

目录 一、前言 (3) 二、题目设计要求 (4) 三、方案设计 (5) 四、电路图 (10) 五、合成波形 (11) 六、实习心得 (14) 七、参考文献 (15)

前言 一、软件介绍 Max+plus II开发软件是美国Altera公司自行设计的的第三代PLD开发系统。Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus II界面友好,使用便捷,在Max+plus II上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程。主要特点介绍如下。 1、提供开放性的界面 Max+plus II支持Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其他公司所提供的EDA工具接口。 2、提供与PLD器件结构无关的设计环境 Max+plus II系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。使用者无需精通器件内部的复杂结构,只需用自己熟悉的设计输入工具,如原理图或硬件描述语言进行设计。Max+plus II将这些设计转换为目标结构所需求的格式,设计处理一般在数分钟内完成。3、完成集成化 Max+plus II的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。 4、丰富的设计库 Max+plus II提供丰富的库单元供设计者调用,其中包括74系

数字钟电路pcb设计讲解

摘要 本设计针对数字钟PCB板设计较为复杂的问题,利用国内知名度较高、应用最广泛的电路辅助设计软件protel99se进行了电路板的设计。本设计介绍了各部分电路的构成及准确完成了数字钟PCB电路板的设计。本设计数字钟原理图分析入手,说明了在平台中完成原理图设计,电气检测,网络表生成,PCB设计的基本操作程序。数字钟的主要电路是由电源电路、显示电路、校时电路、晶体振荡电路组成。PCB是电子元器件的支撑体,是电子元器件电气连接的提供者。PCB的设计是以电路原理图为根据,实现电路设计者所需要的功能。优秀的版图设计可以节约生产成本,达到良好的电路性能和散热性能。 关键词:数字钟;PCB;原理图;芯片

目录 前言 (1) 第一章绪论 (2) 1.1 数字钟的研究背景和意义 (2) 1.2 数字钟的发展和趋势 (2) 第二章系统电路的绘制 (3) 2.1 电路组成方框图 (3) 2.2 电路原理图制作 (3) 2.2.1 原理图环境设置 (4) 2.2.2 绘制原理图 (5) 2.2.3电气规则检查及网络表输出 (7) 2.3 原理图分析 (10) 2.3.1 晶体振荡器 (10) 2.3.2 分频器 (11) 2.3.3 计数器电路 (12) 2.3.4 显示和译码电路 (12) 2.3.5 电源电路 (13) 第三章电路板PCB设计 (14) 3.1 PCB设计规范 (14) 3.2 PCB设计流程 (17) 3.3 输出光绘文件 (21) 3.4 PCB制件作 (23) 心得体会 (25) 参考文献 (26) 附图 (27) 附表 (28)

前言 PCB(Printed Circuit Board),中文名称为印制线路板,简称印制板,是电子工业的重要部件之一。几乎每种电子设备,小到电子手表、计算器,大到计算机,通讯电子设备,军用武器系统,只要有集成电路等电子元器件,为了它们之间的电气互连,都要使用印制板。在较大型的电子产品研究过程中,最基本的成功因素是该产品的印制板的设计、文件编制和制造。印制板的设计和制造质量直接影响到整个产品的质量和成本,甚至导致商业竞争的成败。 Protel系列电子设计软件是在EDA行业中,特别是在PCB设计领域具有多年发展历史的设计界软件,由于其功能强大,操作简单实用,近年来成为国内发展最快。 Protel 99已不是单纯的PCB(印制电路板)设计工具,而是由多个模块组成的系统工具,分别是SCH(原理图)设计、SCH(原理图)仿真、PCB(印制电路板)设计、Auto Router(自动布线器)和FPGA设计等,覆盖了以PCB为核心的整个物理设计。该软件将项目管理方式、原理图和PCB图的双向同步技术、多通道设计、拓朴自动布线以及电路仿真等技术结合在一起,为电路设计提供了强大的支持。 随着计算机事业的发展,在信息化时代,电路设计中的很多工作都可以用计算机来完成。这样就大大减轻了设计人员的体力劳动强度,并且保证了设计的规范性准确性。而Protel99SE技术已越来越为人们所关注,人们利用protel99SE绘制各种原理图,进而制作出各种各样的科技产品已经成为当今世界的一个不可或缺的组成部分,所以说Protel99SE技术已越来越显得重要。

整点报时数字钟课程设计

整点报时数字钟课 程设计

信息工程学院 课程设计报告书 ( / 年第二学期) 课程名称:电子技术课程设计题目:能整点报时的电子表专业班级:自动化111 学生姓名:胡义海 学号: 指导教师:康耀明 设计成绩:

1课程设计目的................................................................... 错误!未定义书签。2系统的方案设计............................................................... 错误!未定义书签。 3 系统的详细设计 ............................................................... 错误!未定义书签。 3.1脉冲产生和分频电路.................................................... 错误!未定义书签。 3.1.1脉冲产生和分频电路的设计 .................................. 错误!未定义书签。 3.1.2馆建器件74 LS74的介绍........................................ 错误!未定义书签。 3.1.3关键器件CD4060的介绍 ....................................... 错误!未定义书签。 3.2计时电路 ....................................................................... 错误!未定义书签。 3.2.1分,秒计时电路的设计 .......................................... 错误!未定义书签。 3.2.2小时计时电路的设计 .............................................. 错误!未定义书签。 3.2.3关键器件CD4510的介绍........................................ 错误!未定义书签。 3.3显示译码电路................................................................ 错误!未定义书签。 3.3.1显示译码电路的设计 .............................................. 错误!未定义书签。 3.3.2关键器件CD4511和数码管的介绍........................ 错误!未定义书签。 3.4校时电路 ....................................................................... 错误!未定义书签。 3.4.1校时的电路的详细设计 .......................................... 错误!未定义书签。 3.4.2关键器件RS触发器的详细介绍............................. 错误!未定义书签。 3.5整点报警电路................................................................ 错误!未定义书签。 4 心得体会 .......................................................................... 错误!未定义书签。 5 参考文献 .......................................................................... 错误!未定义书签。

相关文档
最新文档