具有整点报时功能的数字钟 电子设计

具有整点报时功能的数字钟 电子设计
具有整点报时功能的数字钟 电子设计

目录

1 绪论 (1)

2 设计主体 (1)

2.1 振荡器 (1)

2.1.1 555定时器的电路结构及工作原理 (2)

2.1.2 用555定时器构成多谐振荡器 (4)

2.2 分频器 (5)

2.3 校正电路 (6)

2.3.1 校“秒”电路 (7)

2.3.2 校“分”电路 (7)

2.3.3 校“时”电路 (8)

2.4 整点报时电路 (8)

2.5 计数器、译码器和显示器 (9)

3 心得体会 (10)

参考文献 (12)

具有整点报时功能的数字钟

1 绪论

数字钟是集模拟技术与数字技术为一体的一种综合应用。数字钟与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用,数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟,而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实现方法,且由于数字电子钟电路包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

2 设计主体

数字钟是用计数器、译码器和显示器等集成电路实现“时”、“分”、“秒”按照数字方式显示的计数装置,主要由振荡器、分频器、校正电路、计数器、译码器和显示器六部分组成,如框图2-1所示。

图2-1 数字钟框图

2.1 振荡器

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路,也可以选择555定时器。我在这里选择的是555定时器。

555定时器是一种应用极为广泛的中规模集成电路,因集成电路内部含有3个5KΩ电阻而得名。该电路使用灵活、方便,只需接少量的阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器,且价格便宜。555定时器广泛应用于信号的产生、变换、控制与检测。

目前生产的555定时器有双极型和CMOS两种类型,主要厂商生产的产品有NE555、FX555、LM555和C7555等,它们的结构和工作原理大同小异,引出线也基本相同,有的还有双电路封装,称为556。通常双极型定时器具有较强的带负载能力,而COMS定时器具有低功耗、输入阻抗高等优点。555定时器工作的电源电压范围很宽,并可承受很大的负载电流。双极型定时器的电源电压范围为5V~16V,最大负载电流可达200mA,因此可直接驱动小电动机、继电器、喇叭和发光二极管;CMOS 定时器电源电压范围为3V~18V,最大负载电流在4mA以下。

2.1.1 555定时器的电路结构及工作原理

555定时器是一种将模拟电路和数字电路混合集成于一体的电子器件,其内部结构的简化原理图,如图2-3所示。

图2-3 555定时器内部结构图

由图可知,555定时器由3个阻值为5KΩ的电阻组成的分压器、两个电压比较器C1

和C2、基本RS触发器、放电三极管VT D和缓冲反相器G4组成。虚线边沿标注的数字为管脚号。其中:1脚为接地端;2脚为低电平触发端,由此输入低电平触发脉冲;6脚为高电平触发端,由此输入高电平触发脉冲;4脚为复位端,输入负脉冲(或使其电压低于0.7V)可使555定时器直接复位;5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经0.01μF的电容接“地”,以防止引入干扰;7脚为放电端,555定时器输出低电平时,放电晶体管VT D导通,外接电容元件通过VT D放电;3脚为输出端,输出高电压约低于电源电压1V~3V,输出电流可达200mA,因此可直接驱动继电器、发光二级管、扬声器和指示灯等;8脚为电源端,可在5V~18V范围内使用。

555定时器的工作过程分析如下:

5脚经0.01μF的电容接“地”,比较器C1和C2的比较电压为:U R1=2/3V CC 、U R2=1/3V CC 。

当U11>2/3V CC,U12>1/3V CC时,比较器C1输出低电平,比较器C2输出高电平,基本RS触发器“置0”,G3输出高电平,放电三极管VT D导通,定时器输出低电平,U O=U OL。

当U11<2/3V CC,U12>1/3V CC时,比较器C1输出高电平,比较器C2输出高电平,基本RS触发器保持原状态不变,555定时器输出状态亦保持不变。

当U11>2/3V CC,U12<1/3V CC时,比较器C1输出低电平,比较器C2输出低电平,基本RS触发器两端都被置1,G3输出低电平,放电三极管VT D截止,定时器输出高电平,U O=U OH。

当U11<2/3V CC,U12<1/3V CC时,比较器C1输出高电平,比较器C2输出低电平,基本RS触发器置1,G3输出低电平,放电三极管VT D截止,定时器输出高电平U O=U OH。

综合上述的分析,可以知道555定时器功能,如表2-1所示。

表2-1 555定时器的功能表

如果在555定时器的电压控制端(5脚)施加一个外接电压(其值在0~V CC之间),比较器的参考压将发生变化,电路相应的高电平触发电压、低电平触发电压也将发生变化,进而影响电路的工作状态。

2.1.2 用555定时器构成多谐振荡器

我们知道,利用施密特触发器可以构成多谐振荡器。于是,我先用555定时器构成施密特触发器,再把这个施密特触发器改成多谐振荡器,电路如图2-4所示。

图2-4 用555定时器构成多谐振荡器

由图可见,这个施密特触发器稍微复杂一些,除了“二六一搭”(将555定时器的2脚和6脚接在一起可以构成施密特触发器,我们简称为“二六一搭”)以外,又增加了一个电阻R1。R1与555定时器内部的放电管VT D构成了一个反相器。逻辑上,

这个反相器的输出与555定时器的输出完全相同。因此,这个施密特触发器有两个输出端,分别为555定时器的3号脚和7号脚。我们看到,电阻R2和电容C 构成了RC 积分电路,施密特触发器的一个输出端(7号脚)接RC 积分电路的输入端,RC 积分电路的输出端接施密特触发器的输入端,这样,一个多谐振荡器就构成了。施密特触发器的另外一个输出端(3号脚)就专门作为多谐振荡器的输出,所以我们可以最大限度的保证多谐振荡器的带负载能力。这个多谐振荡器可以驱动小型继电器。

一开始接通电源后,电源经过电阻R1和R2向电容C 充电,电容两端电压上升,当u C >2/3V CC 时,触发器被复位,此时输出为低电平,同时555定时器内部的放电三极管导通,电容C 通过电阻R2和放电三极管放电,使电容两端电压下降,当u C <1/3V CC 时,触发器又被置位,输出翻转为高电平。电容器放电所需的时间为

当电容C 放电结束时,放电三极管截止,电源又开始经过R1和R2向电容器C 充电,电容电压由1/3V CC 上升到2/3V CC 所需的时间为

当电容电压上升到2/3V CC 时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的矩形脉冲,其频率为

2.2 分频器

在数字电路中,分频器是一种可以进行频率变换的电路,其输入、输出信号是频率不同的脉冲序列。输入、输出信号频率的比值称为分频比。例如,2分频器的输出信号频率是输入信号频率的1/2,8分频器的输出信号频率是输入信号频率的1/8。

分频器是用于产生标准的“秒”计时信号,在本项设计中需要1KHz 、500Hz 、1Hz 的脉冲信号。我们知道把555定时器产生的1KHz 的时间标准信号,经过103分频,即需要经过3级十分频得到1Hz 的“秒”计时信号。再经2分频获得500Hz 脉(2-1)

(2-2)

(2-3)

冲信号。用两块双二—十进制同步计数器CC4518即可,如图2-5所示。

图2-5 把1KHz的信号分频为1Hz信号的电路

2.3 校正电路

实际的数字钟电路由于“秒”信号的精确性和稳定性不可能做到完全(绝对)准确无误,加之电路中其它原因,数字钟总会产生走时误差的现象。因此,电路中就应该有校准时间功能的电路。

标准的校正电路包括校“时”电路、校“分”电路和校“秒”电路,校正电路的信号频率大于1Hz。可用手动较正或脉冲校正,也可用普通机械开关或由机械开关与门电路构成无抖动开关来实现校正。本设计使用的是集成块CC4011,下面是CC4011的引脚图,如图2-6所示。

图2-6 CC4011引脚图

图2-6中有四个同样的与非门,每一个与非门有两个输入端,所以称为四2输入与非门。1A、1B、1Y为第一个与非门,2A、2B、2Y为第二个与非门,3A、3B、3Y 为第三个与非门,4A、4B、4Y为第四个与非门。V CC为电源的正极,V SS为电源的

负极。CC4011是常用的COMS四-2输入与非门集成电路,常用在各种数字逻辑电路和单片机系统中,功耗很小。下图是CC4011逻辑功能表,如表2-2所示。

表2-2CC4011的逻辑功能表

2.3.1 校“秒”电路

下图即为校“秒”电路,如图2-7所示。

图2-7 校“秒”电路

正常工作时开关拨向下边,门5输出高电平,门4输出低电平,正常输入信号通过门3和门1输出,加到“秒”计数器的CP脉冲端。作为校“秒”电路正常输入信号的“分”进位信号,校准信号可以用秒脉冲信号,需要校准时将开关拨向上边,校准信号(秒脉冲)就可以通过门2和门1送到个位计数器的计数输入端。

2.3.2 校“分”电路

“分”校准与“秒”校准的道理是相同的,只是输入信号不同。“分”校准电路的正常输入是“秒”进位信号,即为开关打到左边时,校准输入也是秒脉冲,输出的是1Hz校“分”信号。从送入的信号看,校准时的信号的频率高于正常信号频率,

计数速度加快。当调到需要的数字后,拨动开关,计数器能继续正常工作。校“分”电路如图2-8所示。

图2-8 校“分”电路

2.3.3 校“时”电路

校“时”电路与校“分”电路基本相同,只是输出的是1Hz的校时信号。电路图如图2-9所示。

图2-9 校“时”电路

2.4 整点报时电路

整点报时是数字钟最基本的功能之一,即当数字钟显示整点时,应能报时。要求当数字钟的“分”和“秒”计数器计到59分50秒(数字钟电路要求在离整点差10

秒)时,驱动音响电路,在每隔1秒音响电路鸣叫一次,每次叫声的持续时间为1秒,10秒钟内自动发出六声鸣叫,前五次为低音500Hz,最后一声为高音1KHz,即“前五声低,最后一声高”,正好报整点。因此整点报时电路主要由控制门电路和音响电路两部分组成,下图即为整点报时电路图,如图2-10所示。

图2-10 整点报时电路

当分和秒计数器计到59分50秒时,“分”十位Q D Q C Q B Q A=0101,“分”个位Q D Q C Q B Q A=1001,“秒”十位Q D Q C Q B Q A=0101,“秒”个位Q D Q C Q B Q A=0000,从59分50秒到60分0秒(0分0秒),只有“秒”个位在计数,最后到整点时全部置“0”,从图中可以看出在59分50秒到59分59秒,门2的输入全为高电平,门3输入除“秒”个位Q A外也是高电平,那么当秒个位Q A=1(Q A=0)时门3输出高电平,这个时间正对应是50秒、52秒、54秒、56秒、58秒。在这几个时间上,500HZ的振荡信号可以通过门1,再经过门4送出音响电路,发出五次音响。而当时间达到整点时,门3输出为0,500Hz的信号不能通过门1。此刻在分十位有一个反馈归零信号Q C Q B,把它引来触发由门6、门7构成的基本RS触发器并使门6的输出为高电平“1”,这时1KHz振荡信号可以通过门5,再经门4,送入音响电路,在整点时,报出最后一响。触发器的状态保持1S时间后被“秒”个位Q A作用回到零,整个电路结束报时。报时所需的500Hz和1KHz信号可以从分频电路中取出。

2.5 计数器、译码器和显示器

计数器、译码器和显示器三部分的设计由同组人某某同学完成。

3 心得体会

我的毕业设计是“具有整点报时功能的数字钟”,通过本次设计我乐在其中。数字钟的硬件设计是数字电子技术课程设计传统的课题之一,其生命力就在于知识的综合化。数字钟的设计课题虽然是一个很小的课题,但是它确是一个完整的系统,所谓“麻雀虽小,五脏俱全”。

我重点研究的是振荡、分频、校正和整点报时四部分,其它的计数、译码和显示三部分则由我的同组人某某同学完成。

首先是振荡部分,它是数字钟设计中非常重要的一部分。我使用的芯片是555定时器,它的功能很强大,可以构成施密特触发器、单稳态触发器和多谐振荡器。它产生的1KHz的信号作为分频器的输入信号,其他芯片协同工作。

其次是分频部分,它是用两块双二—十进制同步计数器CC4518完成的,它提供整个电路所使用的频率,如,校正所用的2Hz以及整点报时所用的500Hz和1KHz。

再有就是校正部分和整点报时部分,校正使数字钟在实际工作中更加的准确,而报时是数字钟中最常见的功能。

对于数字钟的设计,各个功能的实现都使用的是硬件,它对于每个人的动手能力是一次巨大的考验。从设计者来说,我总结出几条小的制作技巧如下:

1. 设计时,首先是要合理布局,把各个模块的位置都安排好了,这样有利于布线的方便。

2. 每做完一个模块都要先检查它是否能正常工作,这样避免了故障排查的繁琐,以免最后出现问题无从下手。

3. 布线时,应尽量使每个线都接触良好,避免短路。

4. 焊接的时候应尽量的焊实,避免不通。

如果上述问题都能避免的话,相信整点报时和显示的功能都能够实。

设计时,发现还有许多不足的地方需要改进。虽然简单的功能都能实现,但它在使用上还不够人性化,比如,不能实现定点报时的功能,闹铃的声音需要设置成人们喜欢的类型,人们在使用时经常需要定闹铃,这给人们带来了不便。

在做课程设计的过程中,我深深地感受到了自己所学到知识的有限,明白了只学好课本上的知识是不够的,要通过图书馆和互联网等各种渠道来扩充自己的知识。在实验过程中我们曾经遇到过问题。一个是在电路接好之后计数的显示结果不正确,经分析,检察后我们请老师帮我们检察了电路,知道了是电路导线坏了,于是改正了错

误。我们遇到的第二个问题是有一个芯片忘记了接地。由于有好几百条连线,所以我们没有一时检察出问题,但是我们没有沮丧。在使用万用表测量各个接点电压后我们找到了原因。但是从中我们学习到了如何对待遇到的困难,进一步培养了我们一丝不苟的科学态度和不厌其烦的耐心。所有的这些心得会对我以后的学习和工作有帮助作用。

非常感谢指导老师给予的帮助,使我能够顺利的完成毕业设计。在这个过程中我所学到的知识将使我终身受用。

参考文献

[1] 张桂芬,电子技术基础,人民邮电出版社,2007年

[2] 谢自美,电子线路设计,实验,测试,华中科技大学出版社,2000年

[3] 彭容修、刘泉、马建,数字电子技术基础,武汉理工大学出版社,2006年

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

8-电子时钟的设计与实现

课程设计 题目电子时钟的设计与实现学院自动化学院 专业电气工程及其自动化班级 姓名 指导教师 2014 年 1 月9 日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:自动化学院 题目:电子时钟的设计与实现 初始条件: 掌握8086汇编语言程序设计方法,设计一个电子时钟,实现分、秒、时的显示与刷新功能。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1. 定义显示界面。 2. 调用系统时间,并将调用的用二进制表示的时间数转换成ASCII码,并将时间数存入内存区。 3. 将存在系统内存区的时间数用数字式或指针式钟表的形式显示出来。 4. 获取键盘的按键值,判断键值并退出系统。 5. 撰写课程设计说明书。内容包括:摘要、目录、正文、参考文献、附录(程序清单)。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软件思想,流程,源程序设计及说明等)、程序调试说明和结果分析、课程设计收获及心得体会。 时间安排: 12月26日----- 12月28日查阅资料及方案设计 12月29日----- 1月2日编程 1月3日----- 1月7日调试程序 1月8日----- 1月9日撰写课程设计报告 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1 设计任务及要求 (2) 1.1 设计题目 (2) 1.2 设计要求 (2) 1.3 设计过程 (2) 1.4 设计目的 (2) 2 设计方案论述 (3) 2.1 设计方案概括 (3) 2.2 设计方案具体说明 (3) 2.2 设计思路介绍 (3) 3 软件设计说明 (5) 3.1. 理论知识介绍 (5) 3.1.1 DOS中断与BIOS中断的功能及调用 (5) 3.1.2 子程序的设计 (7) 3.1.3 中断概述 (7) 3.2 设计流程 (8) 3.2.1 主流程图及说明 (9) 3.2.2.显示系统时间子流程图及说明 (9) 3.3. 程序设计 (10) 3.3.1 清屏程序 (10) 3.3.2. 光标定位程序 (10) 3.3.3 多字符显示程序 (11) 3.3.4 读取键盘状态程序 (12) 3.3.5 读取键盘值程序 (12) 3.3.6 调用系统时间显示程序 (12) 3.3.7 将二进制数转换为ASCII码程序 (13) 3.4 软硬件环境要求 (14) 4 调试结果及分析 (15) 5 收获与体会 (17) 参考文献 (18) 附录:设计源程序 (19) 本科生课程设计成绩评定表 (25)

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

整点报时数字钟设计

信息与电气工程学院 课程设计说明书 课程名称:整点报时数字钟 题目:整点报时数字钟 专业班级:电气工程及其自动化07-05 学生姓名: 学号: 指导教师:崔春艳 设计周数:2周 设计成绩:

1. 课程设计目的……………………………………………………… 2 .课程设计的要求…………………………………………………… 3. 数字钟方案设计…………………………………………………… 3.1方案设计…………………………………………………………… 3.2数字钟逻辑框架图………………………………………………… 4. 单元电路的设计和元器件的选择………………………………… 4.1 时钟秒脉冲的产生………………………………………………… 4.2 六十进制计数电路的设计………………………………………… 4.3 双六十进制计数电路设计………………………………………… 4.4 二十四进制计数电路的设计……………………………………… 4.5 译码驱动及显示单元电路设计…………………………………… 4.6 整点报时器单元电路……………………………………………… 4.7 校正单元电路的设计……………………………………………… 5.数字钟的PCB 板图的设计…………………………………………… 5.1PROTEL99的使用…………………………………………………… 5.2具体工艺要求和相关规则………………………………………… 5.3 注意事项………………………………………………………… 6.系统调试……………………………………………………………… 6.1 系统调试方法……………………………………………………… 6.2调试出现的问题及解决方法……………………………………… 7. 元器件清单………………………………………………………… 8. 主要元件介绍……………………………………………………… 9. 课程设计总结和心得体会………………………………………… 9.1 设计过程中遇到的问题及解决方法……………………………… 9.2 个人体会…………………………………………………………… 10. 参考文献……………………………………………………………附录…………………………………………………………………… 1 数字钟原理图……………………………………………………… 2 数字钟PCB板………………………………………………………课程设计评语表格……………………………………………………

数字钟设计(带仿真和连接图)

- 数字电子技术课程设计报告 题目:数字钟的设计与制作 : 专业:电气本一班 学号:姓名: 指导教师: 时间: - —

一、设计内容 数字钟设计 … 技术指标: (1)时间以24小时为周期; (2能够显示时,分,秒; (3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; (4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; (5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. ~ 二、设计时间: 第十五、十六周 三、设计要求: (1)画出设计的电路原理图; $ (2) 选择好元器件及给出参数,在原理图中反应出来; (3)并用仿真软件进行模拟电路工作情况; (4)编写课程报告。

! 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。 译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 } 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时

vhdl数字电子钟的设计与实现

基于VHDL数字电子钟的设计与实现 摘要:本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。 关键词:电子钟;门电路及单次按键;琴键开关

目录 第一章引言----------------------------------------------------------------1 1.1 课题的背景、目的------------------------------------------1 1.2 课程设计的内容------------------------------------------1 第二章EDA与VHDL简介--------------------------------------------------2 2.1 EDA的介绍---------------------------------------------2 2.2 VHDL的介绍--------------------------------------------3 2.2.1 VHDL的用途与优点-----------------------------------------------------------------3 2.2.2 VHDL的主要特点---------------------------------------------------------------------- 2.2.3 用VHDL语言开发的流程------------------------------------------------------------ 第三章数字电子钟的设计方案------------------------------------------6 3.1秒脉冲发生器--------------------------------------------7 3.2可调时钟模块--------------------------------------------8 3.3校正电路------------------------------------------------8 3.4闹铃功能------------------------------------------------10 3.5日历系统------------------------------------------------11 第四章结束语---------------------------------------------------------------13 4.1致谢----------------------------------------------------14 4.2参考文献------------------------------------------------15

多功能数字电子钟-数电课程设计

华南理工大学广州学院 数字电路课程设计报告 题目:多功能数字电子钟 专业:自动化 班级:一班 姓名:姚楸 同组队员:陈杰涛、姚楸、李卓鸿、刘志健、 吴壁文、陈孟鹏、黎杰豪、江泉河 学号: 201130087082 日期:2013年1月

一、设计目的 为了巩固课本所学知识,培养动手能力和实际解决问题的能力,加深对课 堂知识的理解和运用,进一步学习和熟悉各种常用芯片的规格和使用,能 掌握电路的组装和基本问题的排除。通过课程设计要实现以下两个目标:一、学生初步掌握电子线路的设计、组装及调试方法。即学生根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计要求和设计指标: a设计一个能显示时、分、秒的数字钟,显示时间从00:00:00到23:59:59; b设计的电路包括产生时基信号,时、分、秒的计时电路,显示电路。 c具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; d计时过程具有整点报时功能,当时间到达整点前10秒进行蜂鸣报时 三、总体框图设计 本设计通过555定时器产生1HZ的方波通过加法器进行计数, 计数后产生的BCD码通过译码器译码最后通过数码管显示出 来。

四、功能模块设计和原理说明 1、秒脉冲发生器 秒信号发生电路由集成电路555定时器与RC组成的多谐振荡器构成。555

数字时钟电路

摘要 数字钟就是一种用数字电路技术实现日、时、分、秒计时的装置,与传统的机械式时钟相比,具有更高的准确性与直观性,且无机械传动装置,具有更更长的使用寿命,因此得到了广泛的使用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。 本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接成输出1000秒的多谐振荡器,然后经过74LS90构成的分频器输出1HZ的秒脉冲,用74LS160(10进制计数器)连接成60与24进制的计数器,再通过七段数码管显示,外加上校时电路,整点报时电路即构成了简单数字钟。扩展电路可实现定点报时功能。 关键字:多谐振荡器;分频器;计时电路;闹钟电路;校时电路;整点报时电路 目录 1 设计内容及要求 0 1、1设计目的 0 1、2设计内容与要求 0 1、3创新部分 0 2 系统总体设计方案 0 2、1 数字时钟的组成 0 2、2原理分析 0 2、3基本逻辑功能框图 (1) 3 器件选择 (1) 3、1 555集成定时器 (1) 3、2 74LS160 (2) 3、3 LED显示屏 (3)

3、4 4位十进制同步可逆计数器74LS90 (4) 3、5 4位数值比较器74LS85 (5) 4 数字时钟的电路设计 (7) 4、1 时钟振荡电路 (7) 4、1、1 555多谐振荡器产生1KHz (7) 4、1、2 时钟信号发生电路 (7) 4、1、3 时钟振荡电路的Multisim仿真 (8) 4、2 分频器电路 (9) 4、3秒脉冲发生器电路 (10) 4、4 分脉冲发生器电路 (11) 4、5 时脉冲发生器电路 (12) 4、6 校时电路 (12) 4、7 整点报时电路 (13) 4、8闹钟功能电路 (15) 4、9 数字时钟总仿真电路图 (16) 5 心得体会 (17) 5、1 关于数字时钟的心得体会 (17) 5、2 关于收音机的焊接与调试心得体会 (18) 参考文献 (19)

数字电子钟(计时、校时以及整点报时)数电课程设计报告

设计要求 1.用秒脉冲作信号源,构成数字钟,显示秒、分、时 2.具有“对时”功能,即时间可以快速预置 3.具有整点提示功能。一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。 系统框图 设计过程 时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。 整点报时模块电路用的是555芯片和一块CD4068芯片组成的电

路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。 秒信号发生器可以用实验箱上的秒脉冲信号代替。 考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。 电路仿真与设计 3.1所需芯片及芯片管脚图 CD4518 CD4068 CD4002 CD4011

CD4069 555 3.2时、分、秒显示电路模块设计 整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。 上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。

基于单片机的电子时钟设计和实现

电子科技职业技术学院Shaanxi electronic science and technology vocational college 课程设计报告 题目基于单片机的电子时钟设计和实现 班级电子信息1507 姓名聪 指导教师聂弘颖 时间2017年10月30日

第一章系统设计要求1.1 基本功能 (1)能够显示时分秒 (2)能够调整时分秒 1.2 扩展功能 (1)能够任意设置定时时间 (2)定时时间到闹铃能够报警 (3)实现了秒表功能

第二章硬件总体设计方案 本次设计时钟电路,使用了AT89C51单片机芯片控制电路,单片机控制电路简单且省去了很多复杂的线路,使得电路简明易懂,使用键盘键上的按键来调整时钟的时、分、秒,用一扬声器来进行定时提醒,同时使用C语言程序来控制整个时钟显示,使得编程变得更容易,这样通过四个模块:键盘、芯片、扬声器、显示屏即可满足设计要求。 2.1系统功能实现总体设计思路 此设计原理框图如图2-1所示,此电路包括以下四个部分:单片机,键盘,闹铃电路及显示电路。

图2-1 设计原理框图 经多方论证硬件我个人采用AT89C51单片机和7SED 八位共阳极数码管等来实现单片机电子时钟的功能。 详细元器件列表如表2.1所示: 表2.1 详细元器件列表 2.2各部分功能实现 (1)单片机发送的信号通过程序控制最终在数码管上显示出来。 (2)单片机通过输出各种电脉冲信号来驱动控制各部分正常工作。

(3)为使时钟走时与标准时间一致,校时电路是必不可少的,键盘用来校正数码管上显示的时间。 (4)单片机通过控制闹铃电路来完成定时闹钟的功能。 2.3系统工作原理 设计的电路主要由四模块构成:单片机控制电路,显示电路、闹铃电路以及校正电路。 详细电路功能图如图2-2: 图2-2 详细电路功能图 本设计采用C语言程序设计,使单片机控制数码管显示时、分、秒,当秒计数计满60时就向分进位,分计数器计满60后向时计数器进位,小时计数器按“23翻0”规律计

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

单片机数字钟电路图

数字钟设计 一、设计目的 1. 熟悉集成电路的引脚安排。 2. 掌握各芯片的逻辑功能及使用方法。 3. 了解面包板结构及其接线方法。 4. 了解数字钟的组成及工作原理。 5. 熟悉数字钟的设计与制作。 二、设计要求 1.设计指标 时间以24小时为一个周期; 显示时、分、秒; 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

2.设计要求 画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; PCB文件生成与打印输出。 3.制作要求自行装配和调试,并能发现问题和解决问题。 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 三、设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图3-1所示为数字钟的一般构成框图。 图3-1 数字钟的组成框图

⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。 ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。 ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

简易电子钟的设计与实现

简易电子钟的设计与仿真 一、设计要求和电路原理 1.1 设计要求 1)可以准确地显示北京时间。 2)时间显示选择24小时模式。 3)选用AT89C52单片机,将编写的程序下载到该单片机中,并能使数码管 显示。 4)采用Keil C51编译,Proteus软件进行仿真。 1.2 设计原理与思路 利用单片机的定时与中断系统功能实现电子钟的计数和调时。采用AT89C52定时中断方式实现24小时制时钟精确的计时。通过外部的12M(11.0529M)Hz 晶振产生稳定的谐振,在AT89C52的内部定时器电路实现定时,当定时器溢出时产生中断,累计定时器的定时时间达一秒时,数码管的秒显示加1,判断数码管的秒显示达60时,秒显示自动清零,分显示加1,判断分显示达60时,分显示自动清零,时显示加1,判断时显示达24时,时显示自动清零。从而实现 00:00:00—23:59:59 之间的任意时刻显示。 为了使时钟能够灵活的对时间进行调整、校对,通过增加外部的按键实现简单的复位、时调整、分调整的功能。形成一个具有复位和校时功能的简易电子时钟。 二、电子时钟设计方案 2.1电子钟设计的基本方法 2.1.1电子钟实现计时的方法 利用MCS-51系列单片机的可编程定时/计数器、中断系统来实现时钟计时。 (1) 计数初值计算: 把定时器T0设为工作方式2,产生0.25ms定时中断,计数溢出4000次即得时钟计时最小单位秒,而4000次计数可用软件方法实现。 假设使用T/C0,方式2,0.25ms定时,fosc=12MHz。 则初值a满足(256-a)×1/12MHz×12μs =250μs a=6 (6H) TH0=#6H; TL0=#6H (2) 采用中断方式进行溢出次数累计,计满4000次为秒计时(1秒);

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

数字钟的设计(含有闹钟功能)

# 综合设计报告~ 设计名称:数字逻辑综合设计报告 设计题目:数字电子钟 学生学号: 专业班级: … 学生姓名: 学生成绩: 指导教师(职称): 课题工作时间:至

目录 摘要……………………………………………………………………………………… II Abstract …………………………………………………………………………………... II 第一章课题背景(或绪论、概述) (1) 数字电子钟应用 (1) 电子钟的应用前景 (x) 第二章设计简介及设计方案论述 (x) 设计原理简介 (x) 设计方案论述 (x) 设计目的概述 (x) 第三章详细设计 (x) 实验元件及介绍 (x) 模块的详细设计 (x) 3.2.1 二十四时制显示模块 3.2.2 校时电路模块 3.2.2 整点报时模块 3.2.2 闹钟模块 第四章设计结果及分析 (x) 设计电路 (x) 运行结果及分析 (x) 结果分析 (x) 总结 (x) 致谢 (x)

参考文献 (x) 附录主要程序代码 (x) 摘要 【关键词】电子钟、校时电路、比较器、整点报时 数学逻辑课程设计选题是电子钟的设计,运用 SP3版仿真软件进行电子钟的设计。主要原理是由555芯片及门电路产生多谐震荡,输出稳定的为1HZ秒脉冲,作为时间基准。秒计时器满60向分计时器仅为,分计时器满60向小时计时器进位,小时计时器以24为一个周期,并实现了小时高位具有零熄灭的功能,计时器的输出送到显示屏,课在相应位置正确显示时、分。秒。计时出现误差或者调整时间时用校时电路进行时、分的调整。而且添加了整点报时功能,并利用比较器实现了闹钟功能。 Abstract 【Keywords】Digital clock, divider chip, the decoder chip, the campus circuit, the whole point alarm The desigining topic of the electronic technology course is the digital clock. The main principle by the oscillator transistor multivibrator oscillation, after the output frequency divider and stable pulse,60 seconds over the counter sub-counters to carry, at least 60 minutes to the hour counter binary counter, hours counter for a period of 24 and achieve a high level with zero off-hour functions. Counter displays the output sent by the decoder can be displayed correctly in the appropriate location, minutes, second. Timing errors, or adjust the time when the school when the circuit is available, the minutes of the adjustment. In this circuit, The whole point alarm will last 10 seconds per hour.

基于单片机的电子时钟设计和实现

电子科技职业技术学院 Shaanxi electronic science and technology vocational college 课程设计报告 题目基于单片机的电子时钟设计和实现 班级电子信息1507 姓名聪 指导教师聂弘颖 时间2017年10月30日

第一章系统设计要求1.1 基本功能 (1)能够显示时分秒 (2)能够调整时分秒 1.2 扩展功能 (1)能够任意设置定时时间 (2)定时时间到闹铃能够报警 (3)实现了秒表功能

第二章硬件总体设计方案 本次设计时钟电路,使用了AT89C51单片机芯片控制电路,单片机控制电路简单且省去了很多复杂的线路,使得电路简明易懂,使用键盘键上的按键来调整时钟的时、分、秒,用一扬声器来进行定时提醒,同时使用C语言程序来控制整个时钟显示,使得编程变得更容易,这样通过四个模块:键盘、芯片、扬声器、显示屏即可满足设计要求。 2.1系统功能实现总体设计思路 此设计原理框图如图2-1所示,此电路包括以下四个部分:单片机,键盘,闹铃电路及显示电路。

图2-1 设计原理框图 经多方论证硬件我个人采用AT89C51单片机和7SED八位共阳极数码管等来实现单片机电子时钟的功能。 详细元器件列表如表2.1所示: 表2.1 详细元器件列表 2.2各部分功能实现 (1)单片机发送的信号通过程序控制最终在数码管上显示出来。 (2)单片机通过输出各种电脉冲信号来驱动控制各部分正

常工作。 (3)为使时钟走时与标准时间一致,校时电路是必不可少的,键盘用来校正数码管上显示的时间。 (4)单片机通过控制闹铃电路来完成定时闹钟的功能。 2.3系统工作原理 设计的电路主要由四模块构成:单片机控制电路,显示电路、闹铃电路以及校正电路。 详细电路功能图如图2-2: 图2-2 详细电路功能图 本设计采用C语言程序设计,使单片机控制数码管显示时、分、秒,当秒计数计满60时就向分进位,分计数器计

多功能数字钟的设计

《Protel应用》课程设计任务书 学生姓名:专业班级: 指导教师:黄铮工作单位:信息工程学院 题目:多功能数字钟的设计 主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。 一、训练内容和要求 1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。 2、绘制相应电路原理图的双面印刷版图*.pcb。对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。 3、基本动手能力和知识应用能力强化训练 1)学习PROTEL软件; 2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范; 4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。 二、初始条件 模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件 时间安排: 6.13:理论设计 6.14~6.17:安装调试仿真 6.18~6.19:撰写报告 6.20:答辩 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要 (1) Abstract (2) 1 设计要求 (3) 2.1脉冲产生电路 (3) 2.1.1振荡器的设计 (3) 2.1.2分频器的设计 (5) 2.2记时电路 (6) 2.2.1分秒记时部分设计 (7) 2.2.2时记时部分设计 (8) 2.2.3显示电路 (8) 2.2.3校准电路 (9) 2.2.3总体设计电路 (10) 2.2.3仿真验证 (11) 3 Protel的运用 (12) 3.1创建项目 (12) 3.2摆放元件 (14) 3.3绘制原理图 (15) 3.4更改元件属性 (16)

相关文档
最新文档