数字电子技术课程设计大纲

数字电子技术课程设计大纲
数字电子技术课程设计大纲

数字电子技术课程设计大纲

课程名称:数字电子技术课程设计学时:2周2学分

课程编码:0517243070

一、本课程设计的性质、目的、任务

本课程设计的目的是通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。着重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

二、本课程设计的基本理论

1.先修课程:电路分析、模拟电子技术基础、数字电子技术基础、电子设计自动化2.基本方法:学生先根据设计任务、技术指标利用仿真软件进行仿真设计,然后选择器件进行电路安装调试,最后撰写设计报告。

三、程序与要求

1.程序

学生可根据自己的兴趣、爱好、能力等实际情况选择一道题目进行设计,利用2周时间完成该课题,建议安排如下:

(1)理论设计,确定预设计方案——3天。要求画出框图,总体逻辑电路图,提出元器件清单;

(2)安装调试,改进完善——5天。要求在规定时间内安装调试完毕并达到技术指标,布线要求可靠并尽可能整齐。集成块数量要求尽量少;

(3)撰写设计报告——1天。根据设计过程、设计结果撰写设计报告,并且行文格式要符合规范要求;

(4)验收电路——0.5天。各组装调好的电路经老师、组长验收其完成情况,包括功能、布线工艺、集成块数量;

(5)总结交流——0.5天。在分组总结交流的基础上写出课程设计总结报告(1周后交),必要时可以组织一次全班性的交流。

2.要求

学生利用已学过的数字电子技术基础知识,充分发挥主动性,自行设计电路,自拟实验方案,最后完成电路设计、实验、测试、撰写设计报告等全部工作。

(1)根据设计任务、技术指标、对课题进行分析;通过查阅资料、理论计算,得到

“ 设计方案;选择元器件,搭接线路,实现方案;分析实验结果,写出设计总结报告。初 步掌握典型数字电路的试验、设计方法;

(2)通过对典型数字电路的设计和仿真,掌握利用 EWB 软件的分析和设计电路; (3)通过独立思考问题、查阅工具书、参考文献、寻找解决问题的途径;掌握常用 基本电路的调试、测试的一般规律、常用测试仪器仪表的使用;对设计结果独立进行分 析、评价,培养自学能力和独立分析问题、解决问题能力。

四、主要内容提要

1.题目一:智力竞赛抢答装置的设计 (1)基本功能

①4 名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应;

②给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答 的开始;

③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选 手编号立即锁存,并在抢答显示器上显示该编号,同时给出音响提示,封锁输入编码电 路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止;

④抢答器具有定时(9 秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计 时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续 0.5 秒。 参赛选手在设定时间(9 秒)内抢答有效,抢答成功,扬声器响,音响持续 0.5 秒,同时 定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间, 并保持到主持人将系统清零为止;

⑤如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响 持续 0.5 秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示 0。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件

74LS175、74LS20、74LS00、CC40192、CC4511、CC40106、555、数码管、蜂鸣器 2.题目二:多功能数字钟的设计 (1)基本功能

①用七段 LED 数码管以十进制显示“时”、“分”、 秒”; ②计时计数器用 24 进制计时电路; ③可手动校时,能分别进行时、分的校正; ④整点报时。 (2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4013、CC4060、74LS90、CC4511、CC4068、数码管

3.题目三:3位半直流数字电压表的设计

(1)基本功能

①量程:一档:+1.999V~0~-1.999V

二档:+19.99V~0~-19.99V

②用七段LED数码管显示读数,做到显示稳定、不跳变;

③保持/测量开关:能保持某一时刻的读数;

④指示值与标准电压表示值误差最低位在5之内。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC14433、MC1413、MC1403、CC4511、七段LED数码管器

4.题目四:数字频率计的设计

(1)基本功能

①量程:4位十进制数

第一档:最小量程档,最大读数是9.999KHz,闸门信号的采样时间为1s。

第二档:最大读数为99.99KHz,闸门信号的采样时间为0.1s。

第三档:最大读数为999.9KHz,闸门信号的采样时间为10ms。

第四档:最大读数为9999KHz,闸门信号的采样时间为1ms。

②用七段LED数码管显示读数,做到显示稳定、不跳变;

③被测信号为方波信号。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4518、CC4013、CC40106、CC4011、CC4511、七段LED数码管

5.题目五:拔河游戏机的设计

(1)基本功能

①拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端二极管点亮,这一方就

得胜,此时双方按键均无作用,输出保持。只有经复位后才使亮点恢复到中心线,比赛才可重新开始;

②用七段LED数码管显示胜者的盘数。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4514、CC40193、CC4518、CC4081、CC4030、CC4011、CC4511、数码管

6.题目六:交通灯控制电路的设计

(1)基本功能

①用红、绿、黄三色发光二极管作信号灯;

②当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯;

③主干道在支干道无车时放行;如支干道有车则主干道每次放行60s,支干道放行30s;

④在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

74LS138、74LS153、CC4011、555、74LS161、CC4020、74LS00、发光二极管

7.题目七:倒计时数字显示器的设计

(1)基本功能

①采用倒计时方式定时,最大定时范围60分钟。时钟信号源要精度较高;

②定时时间可以对分、秒分别预置;

③数字式显示剩余时间的分、秒;

④定时时间到,能提前十秒提供类似“的、的、的、的、的、的、的、的、的、的”声响提示。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC40106、CC40192、CC4060、CC4511、CC4068、CC4013、数码管

8.题目八:自动日历表的设计

(1)基本功能

由电子电路实现一个自动日历,完成大月31天,小月30天,二月28天的自动调节功能:

①用两片十进制计数器级联构成日计数器,再用两片十进制计数器级联构成月计数器;

②日计数器置数(复位)后应为1,月计数器应为12循环计数;

③设计一个1Hz的脉冲作CP。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC40192、74LS20、74LS153、CC4511、CC40106、CC4020、数码管

9.题目九:彩灯控制器1的设计

(1)基本功能

设计一个彩灯控制器,控制绿黄红三个灯,按一定规律依次点亮:

①绿黄红三个彩灯循环闪亮,变化规律是:全灭-红-黄红-黄-绿黄-绿-绿红-全亮-全灭-…,如此循环;

②设计一个脉冲电路控制各状态转换的时间:

按下面8种循环输出脉冲信号(每种循环的间隔为8个脉冲):

┅→2秒脉冲→1秒脉冲→0.5秒脉冲→0.25秒脉冲

→0.125秒脉冲→0.25秒脉冲→0.5秒脉冲→1秒脉冲→2秒脉冲→┅(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

74LS74、74LS00、CC4020、CC40106、74LS151、74LS161、发光二极管

10.题目十:彩灯控制器2的设计

(1)基本功能

①控制围成矩形的8盏彩灯;

②点亮方式既可以顺时针移动,也可逆时针移动,还可逆顺时针交替移动;

③起始状态可以预置;

④设计一个脉冲电路控制各状态转换的时间:

按下面8种循环输出脉冲信号(每种循环的间隔为8个脉冲):

┅→2秒脉冲→1秒脉冲→0.5秒脉冲→0.25秒脉冲

→0.125秒脉冲→0.25秒脉冲→0.5秒脉冲→1秒脉冲→2秒脉冲→┅

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4020、CC40194、CC40106、74LS151、74LS161、74LS00、发光二极管

11.题目十一:彩灯控制器3的设计

(1)基本功能

①8个彩灯一字排开,彩灯的亮灭图案及顺序按下列8种花样循环进行。

L1L2L3L4L5L6L7L8

灭灭灭灭灭灭灭灭

灭亮灭亮灭亮灭亮

灭灭亮亮灭灭亮亮

灭灭灭灭亮亮亮亮

亮亮亮亮亮亮亮亮

亮亮亮亮灭灭灭灭

亮亮灭灭亮亮灭灭

亮灭亮灭亮灭亮灭

②设计一个脉冲电路控制各状态转换的时间:

按下面8种循环输出脉冲信号(每种循环的间隔为8个脉冲):

┅→2秒脉冲→1秒脉冲→0.5秒脉冲→0.25秒脉冲

→0.125秒脉冲→0.25秒脉冲→0.5秒脉冲→1秒脉冲→2秒脉冲→┅(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4020、CC40193、CC40106、74LS20、74LS138、74LS151、发光二极管

12.题目十二:汽车方向之星电路的设计

(1)基本功能

由电子电路设计一组灯饰,把它安装在小汽车的后窗上,用以提示小汽车的左转弯、右转弯、减速、刹车等行车情况,该组灯饰叫“方向之星”。

①左右两排各多个小灯,中间一个大红灯;

②正常直线行驶时后排小灯由中间分别向两边依次闪亮;

③正常行驶左转弯时,左排灯依次向左闪亮;

④正常行驶右转弯时,右排灯依次向右闪亮;

⑤减速、刹车、紧急情况处理时属非正常行驶大灯闪亮;闪亮速率1次/秒;

⑥配套设计一个声光,提示系统,提示驾驶员在转弯结束后,及时关闭转向灯。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

74LS00、CC4020、CC40106、CC40193、74LS138、蜂鸣器、发光二极管

13.题目十三:步进电机脉冲分配电路的设计

(1)基本功能

设计一个能自启动的具有正反转功能的三相六拍步进电机脉冲分配电路。电路的状态转换图如图1所示。图中M为控制变量,当M=0时,电路按顺时针转;当M=1时,

电路按逆时针转。

M=1

图1电路的状态转换图

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC4020、CC40106、74LS74、CC4085、发光二极管

14.题目十四:洗衣机定时正反转控制电路的设计

(1)基本功能

用中规模集成电路实现洗衣机总洗涤时间、定时正反转的模拟控制。

①采用倒计时方式定时洗涤,最大定时范围99秒钟,时钟信号源要精度较高;

②总的洗涤时间可以预置,数字式显示剩余时间;

③完成洗涤电机实现“正转(7秒)→停(3秒)→反转(7秒)→停(3秒)→正转(7秒)→┅”的工作循环;

④用三个LED表示正转、停、反转状态,并可显示各种状态运行的剩余时间。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC40192、CC4013、CC4060、CC4511、CC4081、74LS157、数码管

15.题目十五:数列显示电路的设计

(1)基本功能

①用一个LED数码管依次循环显示:

0、1、2、3、4、5、6、7、8、9(自然数列)

1、3、5、7、9(奇数列)

0、2、4、6、8(偶数列)

1、2、3、4、5、6、7、1(音乐字符列)

②每个数字显示时间基本相同,且从0.5S~2S连续可调。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC40106、CC4020、CC4511、CC40193、74LS244、74LS20、74LS00、6264、数码管16.题目十六:交通灯时间显示控制电路的设计

(1)基本功能

用中规模集成电路实现交通灯时间显示控制电路的模拟控制。

①采用倒计时方式计时,并可显示各种状态运行的剩余时间,时钟信号要精度较高;

②模拟实现通道四种状态的循环控制:

直走绿灯亮25秒,左转绿灯亮25秒,注意停车黄灯亮5秒,停车红灯亮35秒;

③用四个LED表示直走、左转、注意、停车四种状态。

(2)设计成果要求

①利用集成芯片、分立元件制作线路板,实现有关电路功能;

②设计报告一份。

(3)主要器件

CC40192、CC4013、CC4060、CC4511、74LS138、74LS153、CC40106、数码管

五、考核方式与评分标准

根据题目的任务,完成所要达到的技术性能、精度指标、设计说明书的内容以及方案的论证、工作原理的说明,以及最终电路的实现设定评分办法和评分标准,等级分为优、良、中、及格和不及格5级记分制。

优秀:电路原理正确,能独立分析、设计和解决实际问题,部分电路有新意,课程

设计期间无违纪行为,圆满完成所规定的任务;

良好:能较好地完成课程设计任务,能正确回答问题,课程设计态度端正,实习期

间无违纪行为;

中等:能完成基本功能,考核时能正确回答主要问题,课程设计期间无违纪行为;

及格:能完成基本功能,内容基本正确,但电路不够完整、系统。课程设计期间无

违纪行为;

不及格:不能实现基本功能的一半以上,或不参加课程设计所规定时间的1/4以下者,或实习期间有严重的违纪行为。

各部分工作所占比例:

(1)设计成果(电路板)质量,占总成绩40%。

主要考察学生电路设计的难易程度;电路的设计是否规范合理、美观;电路的连接、调试方法是否正确;电路的性能是否能够达到设计的要求。

(2)设计总结报告,占总成绩30%。

主要考察学生对实验涉及的理论知识掌握、叙述的程度,对实验得到的结论和现象

是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败,书写、排版是否规范。

(3)答辩占总成绩20%。

(4)课程设计期间的纪律表现,占总成绩10%。

六、主要参考书

[1]彭介华.电子技术课程设计指导.北京:高等教育出版社,2005.

[2]李庆常.电子技术课程设计.北京:理工大学出版社,1994.

[3]曾峰,巩海洪,曾波.印刷电路板(PCB)设计与制作(第二版).北京:电子工业出版社, 2005.

[4]余孟尝.数字电子技术基础简明教程(第三版).北京:高等教育出版社,2006.

[5]阎石.数字电子技术基础(第五版).北京:高等教育出版社,2006.

[6]邱寄帆,唐程山.数字电子技术学习指导.北京:人民邮电出版社,2005.

[7]王卫兵.Prote99SE基础教程.北京:北京邮电大学出版社,2008.

[8]胡继胜.电子CAD入门——Protel99SE.北京:中国电力出版社,2008.

[9]孙惠芹.电路设计PROTEL.天津:天津大学出版社,2008.

[10]张睿.Altium Designer6.0原理图与PCB设计.北京:电子工业出版社,2007.

[11]张大平.数字电子技术基础实验指导书(自编).2010.

大纲编写人:

大纲审核人:大纲批准人:

数字内容出版物设计教学大纲

《数字内容出版物设计》教学大纲 I.课程性质 设计师在出版物的版式设计过程中需要解决页面设置与管理、图文编排、输出以及电子出版物的设计等工作。InDesign 软件可以轻松的和Photoshop、Illustrator、GoLive等软件进行设计配合,既可以满足类似于普通书籍的教学需要,也可以满足类似与杂志、电子出版物等高端设计要求,使制作变为简单。设计师轻松掌握InDesign 软件的基本应用功能后,可以将大量的时间用于设计创作当中。 本教程的目的是从设计的角度向学员介绍了出版物理论知识、InDesign 软件使用、宣传画册设计制作和书籍设计制作的方法和过程。通过学习本书,学习者将会系统地了解和掌握版式设计的理论知识和操作技能,为从事设计工作打下良好的基础。 Ⅱ.教程的目的和任务 “InDesign出版物设计培训教程”的培训目的是: 1.了解版式设计基本原理。 2.了解出版物设计的基本流程。 3.InDesign软件的基本操作。 4.了解出版物设计在印刷过程中的输出环节。 5.简单了解电子出版物。 Ⅲ.学时安排 本课程共包含6部分内容,第1部分为基础知识概述,出版物设计的基础;第2部分为设计流程部分,需要掌握出版物设计的基本流程;第3-4部分是软件部分,解决InDesign软件的基本操作;第5-6部分是实例讲解分析部分则需要读者掌握出版物设计及印刷的项目过程,同时可以结合自己的实际项目进行设计。 本课程供授课72学时,其中1/3学时供上机操作,达到理论与实践相结合的目的。 以下各章学时安排仅供参考。 第1部分 8 学时 第2部分 8 学时 第3部分 16 学时 第4部分 16 学时 第5部分 12 学时 第6部分 12 学时 Ⅳ.考核目标与考核要求 第1部分出版物设计基础知识 1.了解出版物的发展历程 2.了解出版物的类别与特点 3.了解出版物的各种装订方式的特点 4.了解版式设计的基本要素 5.掌握一定的色彩原理

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

数字电路课程设计——多功能数字钟

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 多功能数字钟的设计仿真与制作 初始条件: 利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。(也可以使用单片机系统设计实现) 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周内完成对多功能数字钟的设计、仿真、装配与调试。 2、技术要求: 错误!未找到引用源。设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 错误!未找到引用源。具有60进制和24进制(或12进制)计数功能,秒、分为60进制 计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2009 年6 月20~22 日,查阅相关资料,学习设计原理。 2、2009 年6 月23~24 日,方案选择和电路设计仿真。 3、2009 年6 月25~27 日,电路调试和设计说明书撰写。 4、2009 年6 月28 日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.绪论 (3) 2.Proteus软件介绍 (4) 3.总体方案的设计与实现 (6) 3.1 数字钟的原理框图 (6) 3.2 各模块功能分析 (6) 3.2.1晶体振荡器 (6) 3.2.2分频器 (7) 3.2.3时间计数单元 (8) 3.2.4译码驱动及显示单元 (10) 3.2.5校时电源电路 (10) 3.2.6整点报时电路 (11) 4.数字钟的安装与调试 (12) 5.数字钟的工作状态分析 (13) 5.1数字钟的工作过程及结果分析 (13) 5.2数字钟工作过程中出现的问题及解决方法 (13) 6.元件清单 (15) 7.数字钟仿真图 (16) 8.心得体会 (17) 9.参考文献 (18) 10.课程设计成绩评定表 (19)

电子技术课程设计-图文

电子技术课程设计 —彩灯控制电路 专业班级: 学生学号: 学生姓名: 指导教师: 电气工程与自动化学院 目录 一、摘要 (3 二、数字部分(彩灯控制电路设计 (4 2.1 设计任务与要求 (4 2.2 电路原理及框图阐述 (4 2.3 总原理图及元器件清单 (5 2.4性能测试与分析 (7 三、模拟部分(稳压电源 (8 3.1 设计任务与要求 (8 3.2 硬件原理及单元电路分析 (8 3.3 总原理图及元器件清单 (9 3.4 软硬件调试 (10

3.5性能测试与分析 (12 四、课程设计心得体会 (13 五、参考文献 (14 摘要 本文从研究单元电路出发,结合要求设计出使用简单易行的彩灯电路,以理论联系实际为基础,突出电子设计的实用性。其中以NE555和CD4017为基础,用发光二级管来显示,做成不同的图案,以达到 绚丽的效果,它在晚会和灯光布置也可以收到很好的妙用。具有较广的实用价值。 关键词:NE555,CD4017,发光二级管 二、数字部分(彩灯控制电路设计 2.1 设计任务与要求 1. 设计一个电路,利用不同颜色的二极管实现彩灯功能 2. 电路接通电源后可以实现自动闪烁的功能 2.2 电路原理及框图阐述 电路主要有NE555和CD4017构成,另外需要用到不同颜色的发光二极管。 2.2.1 NE555主要构成时钟信号电路,其特点是: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。

2.它的操作电源范围极大,可与TTL,CMOS等逻辑电路配合,也就是它的输出电平及输入触发电平,均能与这些系列逻辑电路的高、低电平匹配。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 2.2.2 十进制计数/分频器CD4017,其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2、…、O9依次出现与时钟同步的高电平,宽度等于时钟周期。CD4017有10个输出端(O0~O9和1个进位输出端~O5-9。每输入10个计数脉冲,~O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。 CD4017有3个输入(MR、CP0和~CP1,MR为清零端,当在MR 端上加高电平或正脉冲时其输出O0为高电平,其余输出端(O1~ O9均为低电平。CP0和~CPl是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CPl 端输入。由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

电子技术课程设计

电子技术课程设计PWM调制解调器 班级:电信1301 姓名:曹剑钰 学号:3130503028

一、设计任务与要求 1.要求 设计一款PWM(脉冲宽度调制)电路,利用一可调直流电压调制矩形波脉冲宽度(占空比)。 信号频率10kHz; 占空比调制范围10%~90%; 设计一款PWM解调电路,利用50Hz低频正弦信号接入调制电路,调制信号输入解调电路,输入与原始信号等比例正弦波。 2.提高要求: 设计一50Hz正弦波振荡电路进行PWM调制。 3.限制: 不得使用理想运放、二极管、三极管、场效应管; 基本要求的输入电压使用固定恒压源接自行设计的电路实现可调; 同步方波不得利用信号发生器等软件提供设备产生。 二、总体方案设计 1.脉宽调制方案: 方案一:三角波脉宽调制,三角波电路波形可以由积分电路实现,把方波电压作为积分电路的输入电压,经过积分电路之后就形成三角波,再通过电压比较器与可调直流电压进行比较,通过调节直流电源来调制脉宽。 方案二:锯齿波脉宽调制,锯齿波采用定时器NE555接成无稳态多谐振荡器,和方案一相似,利用直流电压源比较大小调节方波脉宽。 方案三:利用PC机接口控制脉宽调制的PWM电路。 比较:方案一结构简单,思路清晰,容易实现,元器件常用 方案二与方案一相似,缺点是调整脉冲宽度不如方案一 方案三元器件先进,思路不如方案一清晰简单,最好先择了方案一 2.正弦波产生方案: 方案一:RC正弦波振荡电路。 RC正弦波振荡电路一般用来产生1Hz--10MHz范围内的低频信号,由RC 串并联网络组成,也称为文氏桥振荡电路,串并联在此作为选频和反馈网络。电路的振荡频率为f=1/2πRC,为了产生振荡,要求电路满足自激震荡条件,振荡器在某一频率振荡的条件为:AF=1.该电路主要用来产生低频信号。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

《电工电子技术》课程设计报告书 (1)

武汉理工大学华夏学院 信息工程课程设计报告书 课程名称电工电子技术 课程设计总评成绩 学生姓名、学号 学生专业班级 指导教师姓名 课程设计起止日期2015.6.22~2015.7.3

课程设计基本要求 课程设计是工科学生十分重要的实践教学环节,通过课程设计,培养学生综合运用先修课程的理论知识和专业技能,解决工程领域某一方面实际问题的能力。课程设计报告是科学论文写作的基础,不仅可以培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,也是规范课程设计教学要求、反映课程设计教学水平的重要依据。为了加强课程设计教学管理,提高课程设计教学质量,特拟定如下基本要求。 1. 课程设计教学一般可分为设计项目的选题、项目设计方案论证、项目设计结果分析、答辩等4个环节,每个环节都应有一定的考核要求和考核成绩。 2. 课程设计项目的选题要符合本课程设计教学大纲的要求,该项目应能突出学生实践能力、设计能力和创新能力的培养;该项目有一定的实用性,且学生通过努力在规定的时间内是可以完成的。课程设计项目名称、目的及技术要求记录于课程设计报告书一、二项中,课程设计项目的选题考核成绩占10%左右。 3. 项目设计方案论证主要包括可行性设计方案论证、从可行性方案中确定最佳方案,实施最佳方案的软件程序、硬件电路原理图和PCB图。项目设计方案论证内容记录于课程设计报告书第三项中,项目设计方案论证主要考核设计方案的正确性、可行性和创新性,考核成绩占30%左右。 4. 项目设计结果分析主要包括项目设计与制作结果的工艺水平,项目测试性能指标的正确性和完整性,项目测试中出现故障或错误原因的分析和处理方法。项目设计结果分析记录于课程设计报告书第四项中,考核成绩占25%左右。 5. 学生在课程设计过程中应认真阅读与本课程设计项目相关的文献,培养自己的阅读兴趣和习惯,借以启发自己的思维,提高综合分和理解能力。文献阅读摘要记录于课程设计报告书第五项中,考核成绩占10%左右。 6. 答辩是课程设计中十分重要的环节,由课程设计指导教师向答辩学生提出2~3个问题,通过答辩可进一步了解学生对课程设计中理论知识和实际技能掌握的程度,以及对问题的理解、分析和判断能力。答辩考核成绩占25%左右。 7.学生应在课程设计周内认真参加项目设计的各个环节,按时完成课程设计报告书交给课程设计指导教师评阅。课程设计指导教师应认真指导学生课程设计全过程,认真评阅学生的每一份课程设计报告,给出课程设计综合评阅意见和每一个环节的评分成绩(百分制),最后将百分制评分成绩转换为五级分制(优秀、良好、中等、及格、不及格)总评成绩。 8. 课程设计报告书是实践教学水平评估的重要资料,应按课程、班级集成存档交实验室统一管理。

数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲 课程名称:数字系统与逻辑设计课程代码:TELE1005 英文名称:Digital System and Logic Design 课程性质:专业必修课程学分/学时:3.5/72 开课学期:第3学期 适用专业:微电子科学与工程、电子科学与技术等 先修课程:电路分析 后续课程: 开课单位:电子信息学院课程负责人:黄旭 大纲执笔人:黄旭大纲审核人:X 一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平) 课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。 教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下: 1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】 2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻 辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】 3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完 成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】 4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】 二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

电子技术课程设计的基本方法和步骤模板

电子技术课程设计的基本方法和步骤

电子技术课程设计的基本方法和步骤 一、明确电子系统的设计任务 对系统的设计任务进行具体分析, 充分了解系统的性能、指标及要求, 明确系统应完成的任务。 二、总体方案的设计与选择 1、查阅文献, 根据掌握的资料和已有条件, 完成方案原理的构想; 2、提出多种原理方案 3、原理方案的比较、选择与确定 4、将系统任务的分解成若干个单元电路, 并画出整机原理框图, 完成系统的功能设计。 三、单元电路的设计、参数计算与器件选择 1、单元电路设计 每个单元电路设计前都需明确本单元电路的任务, 详细拟订出单元电路的性能指标, 与前后级之间的关系, 分析电路的组成形式。具体设计时, 能够模拟成熟的先进电路, 也能够进行创新和改进, 但都必须保证性能要求。而且, 不但单元电路本身要求设计合理, 各单元电路间也要相互配合, 注意各部分的输入信号、输出信号和控制信号的关系。 2、参数计算 为保证单元电路达到功能指标要求, 就需要用电子技术知识对参数进行计算, 例如放大电路中各电阻值、放大倍数、振荡器中电阻、电容、振荡频率等参数。只有很好地理解电路的工作原理, 正确利用计算公式, 计算的参数才能满足设计要求。 参数计算时, 同一个电路可能有几组数据, 注意选择一组能完成

电路设计功能、在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流、电压、频率和功耗等参数应能满足电路指标的要求。 (2)元器件的极限必须留有足够的裕量, 一般应大于额定值的 1.5倍。 (3)电阻和电容的参数应选计算值附近的标称值。 3、器件选择 ( 1) 阻容元件的选择 电阻和电容种类很多, 正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同, 有些电路对电容的漏电要求很严, 还有些电路对电阻、电容的性能和容量要求很高, 例如滤波电路中常见大容量( 100~3000uF) 铝电解电容, 为滤掉高频一般还需并联小容量( 0.01~0.1uF) 瓷片电容。设计时要根据电路的要求选择性能和参数合适的阻容元件, 并要注意功耗、容量、频率和耐压范围是否满足要求。 ( 2) 分立元件的选择 分立元件包括二极管、晶体三极管、场效应管、光电二极管、晶闸管等。根据其用途分别进行选择。选择的器件类型不同, 注意事项也不同。 ( 3) 集成电路的选择 由于集成电路能够实现很多单元电路甚至整机电路的功能, 因此选用集成电路设计单元电路和总体电路既方便又灵活, 它不但使系统体积缩小, 而且性能可靠, 便于调试及运用, 在设计电路时颇受欢迎。选用的集成电路不但要在功能和特性上实现设计方案, 而且要满足功耗、电压、速度、价格等方面要求。 4、注意单元电路之间的级联设计, 单元电路之间电气性能的 相互匹配问题, 信号的耦合方式

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

电子技术课程设计教学大纲和题目

1.目的与任务 电子技术课程设计课程设计是模拟电子技术和数字电子技术课程重要的实践性教学环节,是对学生学习模拟电子技术和数字电子技术的综合性训练,这种训练是通过学生独立进行某一个或两个课题的设计、安装和调试来完成的。学生必须独立完成一个选题或自定选题的设计任务。 通过电子技术课程设计要求学生: 根据给定的技术指标,从稳定可靠、使用方便、高性能价格比出发来选择方案,运用所学过的各种电子器件和电子线路知识,设计出相应的功能电路。 通过查阅手册和文献资料,培养学生独立分析问题和解决实际问题的能力。 了解常用电子器件的类型和特性,并掌握合理选用的原则。 学会电子电路的安装与调试技能,掌握电子电路的测试方法及了解印刷线路板的设计,制作方法。 进一步熟悉电子仪器的使用方法。 学会撰写课程设计总结报告。 培养学生严肃认真的工作作风和严谨的科学态度。 2.进度安排及方式: 第一单元:集中讲课,主要内容如下: (1)课程设计的目的与要求 (2)课程设计的教学过程 (3)课程设计的评分标准 (4)课设题目介绍 (5)学生自由组合,选择题目。 第二单元:确定题目,教师就题目的基本要求答疑。学生讨论、查资料。 第三、四、五单元:查资料、设计、EDA仿真、写报告。 学生根据课题要求,独立完成课题的设计方案,并可以运用MULTISIM软件在微机上完成对所设计电路的仿真。 最后考试:笔试或分组口试。 3.考核内容与成绩评定 1、考核内容: (1)设计能力 (2)组装或焊接调试情况 (3)解决问题的能力 (4)总结报告情况 (5)出勤情况、工作作风和科学态度。

2、成绩评定: 设计的正确性、合理性和EDA仿真情况40分,总结报告40分,考试或口试20分。 3、电子课程设计完成时间: 布置任务后,同学们可以根据设计要求和参考题目(或自定题目)通过查阅相关资料提出方案和进行学习,本学期结束对设计有一个初稿和基本认识,暑假继续完善和补充,在下一学期开学第一周周末交设计报告和电子文档。开学第二周进行有关设计介绍和答辩,每人5分钟左右时间,介绍有关设计思路、电路分析、仿真、收获与体会等,要求做出介绍的ppt 幻灯片。 4.电子技术课程设计方法及设计中应当注意的问题 1) 课程设计类型 课程设计可分成三种类型或模式:一种是纯理论性的课程设计模式,在设计完成后画出设计图纸,写成设计报告,但不作实验验证;第二种是理论设计与虚拟实验相结合的课程设计模式,在设计完成后,通过计算机软件进行仿真实验,以便检查设计中存在的问题,并对存在的问题进行修改,直到达到设计要求为止;第三种是理论设计与实验验证相结合的课程设计模式,设计完成后,要搭建实验电路进行实验验证,并根据实验中出现的问题对电路进行修改,直到达到设计要求为止。第三种课程设计模式最接近于实际情况,设计和调试难度最大,它不仅要求学生有扎实的理论知识,还要求学生们有较强的动手操作能力,才能解决和克服调试过程中出现的各种问题。 三种课程设计模式各有优点:第一种课程设计模式偏重于理论设计,学生们能够有足够的时间对课程设计中遇到的理论问题进行深入的研究;第三种课程设计模式强调理论与实践并重,由于实验过程会消耗大量的时间,在课程设计时间较短时不要选择难度太大的设计题目,否则在规定的时间内将难以完成;第二种课程设计模式是第一种和第三种设计模式的折中,能较好地解决理论设计与实验验证的问题。 有些专业在课程设计之前,还没有进行电子工艺实习,学生们还不会识别和测量电子元器件,不会识别印刷板电路图,也没有掌握焊接技术、电路的测量和调试方法等实践技能,这些学生在做课程设计之前,要先自学有关的实践知识,这样才能保证课程设计顺利进行。 2)电子电路课程设计的方法和步骤 不同类型的电子电路有不同的设计方法,这些方法虽然千差万别,但基本上可归纳为明确设计任务与要求、总体方案论证、单元电路设计、参数计算、元器件选择、画出设计图纸、实验验证与调试、写成设计报告等,如下图所示。

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

电子技术课程设计大纲-本科

《电子技术课程设计》教学大纲 一、性质及目的 电子技术课程设计是自动化、电子信息工程等专业的主要实践性教学环节。通过利用数字电路和模拟电路各种具有不同功能单元电路的设计、安装和调试方法,在单元电路设计的基础上,让学生根据题目要求的技术指标,独立进行电路设计估算、实验测试与调整,制作出一个实际电子产品和写出总结报告。把定性分析、定量估算和实验调整三者有机地结合起来。要做到理论指导下的实践。并通过这一综合性训练培养学生严肃认真的工作作风和科学态度,为以后从事电子电路设计和研制电子产品打下初步基础。 本大纲根据电子科学技术的发展和我校的具体情况制定。 二、培养目标 1.总体目标:本课程的目标是让学生在掌握模拟和数字电子技术的基础上,通过典型实践题目的设计与实现,使其加深对模拟和数字电子技术知识的理解,初步掌握现代电子系统的设计方法,培养分析、解决实际问题的能力,提高工程设计的技能。 2.知识目标: (1)熟悉各种模拟电路和数字电路的内容; (2)按要求完成整个电路的分析和设计; (3)对整个系统仿真并会调试。 3.能力目标: (1) 能熟练掌握操作万用表、信号发生器、示波器、稳压电源等常用电子仪器仪表; (2)能熟练查阅常用电子元器件和芯片的规格、型号等资料; (3)能熟练用Multisim对系统进行仿真; (4)完成整个电路的硬件连接,并学会排错、解决故障; (5)掌握整个系统的连调。

4.素质目标 (1)具有良好的职业道德、规范操作意识; (2)具备良好的团队合作精神和组织协调能力; (3)具备严谨的科学作风和不断创新的能力; (4)具有良好的语言文字表达能力。 三、内容及要求 项目一:方波-三角波-正弦波函数发生器 1.任务:用555定时器构成的方波、三角波、正弦波发生器 2.要求:(1)方波发生器电路输出频率范围:10-1KH可调;占空比0-100%连续可调;输出方波 Vp_p<=12v;输出三角波Vp-p>0.2v;输出正弦波Vp-p<1v; (2)设计以上电路工作电源。 项目二:篮球竞赛30秒定时电路 1.任务:设计一个符合功能的30秒篮球计时器电路 2.要求:(1)具有显示30秒的计时功能; (2)设置外部操作开关,控制计时器的直接置数、清零、启动和暂停; (3)计时器为连续30秒递减计时时,其计时间间隔为1秒; (4)当计时器递减计时到零时,数码管显示器不能灭灯,LED变亮报警。 项目三:数控直流稳压电源 1.任务:设计一符合要求的数控直流稳压电源 2.要求:(1)直流电源要求输出精度高,步进电压在0.1V 左右,并且调整方便; (2)使用通用器件; (3)要求输出电压在0~9.9V 项目四:数字式温度测量电路 1.任务:设计一能对物体进行温度测量的电路 2.要求:(1)被测温度和控制温度均可数字显示; (2)测量温度为0~1200C,精度为±0.50C; (3)控制温度连续可调,精度±1OC; (4)温度超过额定值时,产生声、光报警信号。 项目五:声控小夜灯 1.任务:设计一声光控灯控制系统 2.要求:(1)同时实现光控和声控;

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

电子技术课程设计

电子技术 课程设计 成绩评定表 设计课题:串联型连续可调直流稳压正电源电路学院名称: 专业班级: 学生姓名: 学号: 指导教师: 设计地点:31-225 设计时间:2014-7-7~2014-7-14

电子技术 课程设计 课程设计名称:串联型连续可调直流稳压正电源电路专业班级: 学生姓名: 学号: 指导教师: 课程设计地点:31-225 课程设计时间:2014-7-7~2014-7-14

电子技术课程设计任务书

目录 前言 (5) 1串联型连续可调直流稳压正电源 (5)

1.1 设计方案 (5) 1.2 设计所需要元件 (7) 2 设计原理 (8) 2.1 电源变压部分 (9) 2.2 桥式整流电路部分 (10) 2.3 电容滤波电路部分 (11) 2.4 直流稳压电路部分 (12) 2.5 原理及计算 (14) 3 电路仿真 (15) 4 电路连接测试 (16) 4.1使用仪器 (16) 4.2.测试结果 (16) 5 设计体会 (17) 参考文献 (19) 串联型连续可调直流稳压正电源电路 引言 随着社会的发展,科学技术的不断进步,对电子产品的性能要求也更高。我们做为21世纪的一名学电子的大学生,不仅要将理论知识学

会,更应该将其应用与我们的日常生活中去,使理论与实践很好的结合起来。电子课程设计是电子技术学习中的一个非常重要的实践环节,能够真正体现我们是否完全吸收了所学的知识。 目前,各种直流电源产品充斥着市场,电源技术已经比较成熟。然而,基于成本的考虑,对于电源性能要求不是很高的场合,可采用带有过流保护的集成稳压电路,同样能满足产品的要求。 本次设计的题目为设计一串联型可调直流稳压正电源:先是经过家用交流电源流过变压器得到一个大约十五伏的电压U1,然后U1经过一个桥堆进行整流在桥堆的输出端加两个电容C1、C2进行滤波,滤波后再通过LM7812(具体参数参照手册)输出一个固定的12V电压,这样就可以在一路输出固定的电压。在LM7812的输出端加一个电阻R3,调整端加一个固定电阻R1和一电位器R2,这样输出的电压就可以在5~12V范围内可调。 经过自己对试验原理的全面贯彻,以及相关技术的掌握,和反复的调试,经过自己的不断的努力,老师的耐心的指导,终于把这个串联型输出直流稳压输出正电源电路设计出来了。 1串联型连续可调直流稳压正电源 1.1 设计方案 本电路由四部分组成:变压电路、整流电路、滤波电路、稳压电路。 (1)变压电路:本电路使用的降压电路是单相交流变压器,选用电压和功率依照后级电路的设计需求而定。 (2)整流电路:整流电路的主要作用是把经过变压器降压后的交流电通过整流变成单个方向的直流电。但是这种直流电的幅值变化很大。它主要是通过二极管的截止和导通来实现的。常见的整流电路主要有半波整流电路、桥式整流电路等。我们选取桥式整流电路实现设计中的整流功能。 (3)半波整流:

数字电子技术课程设计说明书

数字电子技术 课程设计说明书 题 目:生产线自动装箱计数监控器 逻辑电路设计与实验 学生姓名:潘垒坚 学 院:电力学院 班 级:自动化13-1 指导教师:王艳荣 2015年7月 日 学校代码:10128 学 号: 201311204018

摘要 自动化系统不仅已成为了工业和社会生活的一个组成部分,而且是经济发展平的重要标志。工业生产中常常需要自动统计产品的数量并实现对生产线的整体控制,本次设计的生产线自动装箱计数监控器采用光电传感器与计数器相结合的方法实现对物件的数目统计。设计中采用光电传感器将光信号转化为电信号产生正弦脉冲,通过放大滤波整形电路将信号整形为矩形脉冲。将该脉冲通过“罐、箱”计数器实现对罐和箱的计数,最终在数码显示管上显示所计数目。设计还可以通过一系列控制电路实现在箱子未满时持续加入饮料罐,在箱子已满时设备停止工作直到下一空箱到来后设备再次启动并重新加入饮料罐,同时整体电路也可以手动启动、停止和清零控制。本设计经Multisim和实测验证均可达到预期要求。 关键词:光电传感器;自动统计;计数监控器;滤波整形;控制电路

目录 一、设计任务概述...................................... 错误!未定义书签。 1.1设计题目 (1) 1.2设计目的 (2) 1.3设计内容和要求 (2) 1.3.1设计内容 (2) 1.3.2设计要求 (2) 二、设计方案论证及方框图 (2) 2.1题目要求简析 (2) 2.2方案设计与论证 (2) 2.2.1方案一 (2) 2.2.2方案二 (2) 2.2.3方案选择 (2) 2.3电路分块 (3) 2.4电路结构方框图 (3) 三、电路组成及工作原理 (4) 3.1信息采集电路...................................... 错误!未定义书签。 3.1.1信号产生 (4) 3.1.2信号放大 (4) 3.1.3信号整形 (5) 3.2计数显示电路 (5) 3.2.1罐计数显示电路 (6) 3.2.2箱计数显示电路 (6) 3.2.3计数显示总电路 (7) 3.3状态控制电路 (7) 3.4总电路原理图 (9) 四、电路元器件选择与实际测试 (9)

电子技术课程设计

《电子技术课程设计》教学大纲 课程名称:电子技术综合课程设计 学分:2 学时:2周制定人: 一、电子技术课程设计开设目的 本课程是在前导验证性认知实验基础上,进行更高层次的命题设计实验,是在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。综合设计实验对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。由学生自行设计、自行制作和自行调试的综合性试验。旨在培养学生综合模拟、数字、高频电路知识,解决电子信息方面常见实际问题的能力,并了解一般电子电路与单片机构成简单系统及简单编程的方法。促使学生积累实际电子制作经验,准备走向更复杂更实用的应用领域,是参加“全国大学生电子竞赛”前的技能培训课程。目的在于巩固基础、注重设计、培养技能、追求创新、走向实用。 二、电子电路设计的基本要求 2.1、基本要求 1、以电子技术基础的基本理论为指导,将设计实验分为基础型和系统型两个层次,基础型指基本单元电路设计与调试,系统型指若干个模拟、数字、高频基本单元电路组成并完成特定功能的电子电路的设计、调试; 2、熟悉常用电子仪器操作使用和测试方法; 3、学习计算机软件辅助电路设计方法,能熟练应用 multisim进行电路设计和印刷电路板的设计制作; 4、学习电子系统电路的安装调试技术; 5、拓展电子电路的应用领域,能设计、制作出满足一定性能指标或特定功能的电子电路设计任务。 2.2实验方法 1、学生自学与指定设计题目有关的参考资料; 2、在规定时间内学习使用有关电路设计软件进行电路设计的方法 3、学生针对实验课题的要求,查找资料提出设计方案,写出设计步骤,并进行初步设计; 4、学生必须完成基本设计任务后才能进行选作实验; 5、教师在课内外给予及时指导和答疑 6、设计过程中出现的普遍问题,应适当讲授。 2.3、总结报告内容 1、设计题目 2、设计任务和要求 3、原理电路设计:(1)方案比较;(2)单元电路设计;(3)元件选择;(4)整体电路(标出原元件型号和参数、画出必要波形图);(5)说明电路工作原理。 4、整理实验数据和测试波形,对模拟电路应有理论设计数据、实测数据、仿真数据和误差分析,数字电路应有设计逻辑流程、波形图、时序图或真值表。 5、实验困难问题及解决措施。 6、实验参考文献。 三、电子电路设计的一般方法 3.1、方案论证(方案比较)与总体设计(举例说明)

相关文档
最新文档