乘法器实验报告

乘法器实验报告
乘法器实验报告

实验报告

实验题目:乘法器姓名:闫盼蛟学号:2009432017

一.实验目的

理解并掌握乘法器的原理

二.实验原理

1.有符号数乘法——Booth乘法器

Booth算法是一个更有效的计算有符号数乘法的算法,算法的新颖之处在于减法也可以用于计算乘积。假定2(10)×6(10),或者说0010B×0110B:

Booth发现加法和减法可以得到同样的结果。Booth算法的关键在于把1分类为开始、中间、结束3种。如图:

1的结束1的中间1的开始

当然一串0的时候加法减法都不做。因此,总结1的分类情况有4种。如下表:

Booth算法是根据乘数的相邻2位来决定操作,第一步根据相邻2为的4中情况来进行加或减操作,第二步仍然是将积寄存器右移。算法描述如下:

(1)根据当前位和其右边的位,做如下操作。

00:0的中间,无任何操作

01:1的结束,将被乘数加到积的左半部分

10:1的开始,积的左半部分减去被乘数

11:1的中间,无任何操作

(2)将积寄存器右移一位。

需要注意的是,因为Booth乘法器实有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移,不像前面的算法逻辑右移就可以了。

三.实验代码

LIBRARY IEEE;

USE IEEE.Std_logic_1164.ALL;

ENTITY booth_multiplier IS

GENERIC(k : POSITIVE := 3); --input number word length less one

PORT( multiplicand : IN BIT_VECTOR(k DOWNTO 0);

multiplier : IN BIT_VECTOR(k DOWNTO 0);

clock : IN BIT;

product : INOUT BIT_VECTOR((2*k + 2) DOWNTO 0);

final : OUT BIT

);

END booth_multiplier;

ARCHITECTURE structural OF booth_multiplier IS

SIGNAL mdreg : BIT_VECTOR(k DOWNTO 0);

SIGNAL adderout : BIT_VECTOR(k DOWNTO 0);

SIGNAL carries : BIT_VECTOR(k DOWNTO 0);

SIGNAL augend : BIT_VECTOR(k DOWNTO 0);

SIGNAL tcbuffout : BIT_VECTOR(k DOWNTO 0);

SIGNAL adder_ovfl : BIT;

SIGNAL comp : BIT;

SIGNAL clr_md : BIT;

SIGNAL load_md : BIT;

SIGNAL clr_pp : BIT;

SIGNAL load_pp : BIT;

SIGNAL shift_pp : BIT;

SIGNAL boostate : NATURAL RANGE 0 TO 2*(k + 1) :=0;

BEGIN

PROCESS --main clocked process containing all sequential elements

BEGIN

W AIT UNTIL (clock'EVENT AND clock = '1');

--register to hold multiplicand during multiplication

IF clr_md = '1' THEN

mdreg <= (OTHERS => '0');

ELSIF load_md = '1' THEN

mdreg <= multiplicand;

ELSE

mdreg <= mdreg;

END IF;

--register/shifter accumulates partial product values

IF clr_pp = '1' THEN

product <= (OTHERS => '0');

product((k+1) downto 1) <= multiplier;

ELSIF load_pp = '1' THEN

product((2*k + 2) DOWNTO (k + 2)) <= adderout; --add to top half

product((k+1) DOWNTO 0) <= product((k+1) DOWNTO 0); --refresh bootm half

ELSIF shift_pp = '1' THEN

product <= product SRA 1; --shift right with sign extend

ELSE

product <= product;

END IF;

END PROCESS;

--adder adds/subtracts partial product to multiplicand

augend <= product((2*k+2) DOWNTO (k+2));

addgen : FOR i IN adderout'RANGE

GENERATE

lsadder : IF i = 0 GENERATE

adderout(i) <= tcbuffout(i) XOR augend(i) XOR product(1);

carries(i) <= (tcbuffout(i) AND augend(i)) OR

(tcbuffout(i) AND product(1)) OR

(product(1) AND augend(i));

END GENERATE;

otheradder : IF i /= 0 GENERATE

adderout(i) <= tcbuffout(i) XOR augend(i) XOR carries(i-1);

carries(i) <= (tcbuffout(i) AND augend(i)) OR

(tcbuffout(i) AND carries(i-1)) OR

(carries(i-1) AND augend(i));

END GENERATE;

END GENERA TE;

--twos comp overflow bit

adder_ovfl <= carries(k-1) XOR carries(k);

--true/complement buffer to generate two's comp of mdreg

tcbuffout <= NOT mdreg WHEN (product(1)='1') ELSE mdreg;

--booth multiplier state counter

PROCESS BEGIN

W AIT UNTIL (clock'EVENT AND clock = '1');

IF boostate < 2*(k + 1) THEN

boostate <= boostate + 1;

final <='0';

ELSE

final <='1';

boostate <= 0;

END IF;

END PROCESS;

--assign control signal values based on state

PROCESS(boostate)

BEGIN

--assign defaults, all registers refresh

clr_md <= '0';

load_md <= '0';

clr_pp <= '0';

load_pp <= '0';

shift_pp <= '0';

--boostate <=0;

IF boostate = 0 THEN

load_md <= '1';

clr_pp <= '1';

ELSIF boostate MOD 2 = 0 THEN --boostate = 2,4,6,8 ....

shift_pp <= '1';

ELSE --boostate = 1,3,5,7......

IF product(1) = product(0) THEN

NULL; --refresh pp

ELSE

load_pp <= '1'; --update product

END IF;

END IF;

END PROCESS;

END structural;

四.实验步骤

(1)打开Quartus Ⅱ。

(2)将子板上的JTAG端口和PC机的并行口用下载电缆连接。打开实验台电源。

(3)执行Tools Programmer命令,将booth_multiplier.sof下载到FPGA中。注意在执行Programmer中,应在program/configure下方的方框中打钩,然后下载。

(4)在实验台上通过模式开关选择FPGA-CPU独立调试模式010 。

(5)将开关CLKSEL拨到0,将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU所需要的始终使用正单脉冲时钟。

五.实验现象

本实验实现4位数的Booth乘法(有符号数乘法)。

输入输出规则对应如下:

(1)输入的4位被乘数(multiplicand)md3~md0对应开关SD11~SD8。

(2)输入的4位乘数(multiplier)md3~md0对应开关SD3~SD0。

(3)按单脉冲按钮,输入脉冲,也即节拍。

(4)乘积product p8~p0对应灯A8~A0。

(5)当计算结束时,final信号为1,对应灯R7。

本实验通过9个小步骤实现,通过按单脉冲按钮输入脉冲,观察积寄存器的变化,掌握booth 乘法器的原理。

(1)拨动开关SD11~SD8输入4位被乘数(md3~md0)0010,SD3~SD0输入4位乘数(mr3~mr0)1101 。

(2)按动单脉冲按钮,输入脉冲,对照表观察寄存器即灯A8~A0的变化情况,当灯R7亮时,说明计算结束,灯A7~A0为最后相乘结果。

进行新的乘法运算时,或者说当上一次运算结束即灯R7亮时,输入新的被乘数、乘数(拨动开关),然后按动脉冲开关即可观察正确的寄存器结果。

计组-4位乘法器实验报告

实验4位乘法器实验报告 姓名:X XX 学号:X XX 专业:计算机科学与技术课程名称:计算机组成同组学生姓名:无 实验时间:实验地点:指导老师:XXX 一、实验目的和要求 1.熟练掌握乘法器的工作原理和逻辑功能 二、实验内容和原理 实验内容: 根据课本上例3-7的原理,来实现4位移位乘法器的设计。 具体要求:1. 乘数和被乘数都是4位 2. 生成的乘积是8位的 3. 计算中涉及的所有数都是无符号数 4.需要设计重置功能 5.需要分步计算出结果(4位乘数的运算,需要四步算出结果) 实验原理: 1.乘法器原理图

2.本实验的要求: 1.需要设计按钮和相应开关,来增加乘数和被乘数 2.每按一下M13,给一个时钟,数码管的左边两位显示每一步的乘 积 3.4步计算出最终结果后,LED灯亮,按RESET重新开始计算 三、主要仪器设备 1.Spartan-III开发板1套 2.装有ISE的PC机1台 四、操作方法与实验步骤 实验步骤: 1.创建新的工程和新的源文件 2.编写verilog代码(top模块、display模块、乘法运算模块、去抖动模块以及 UCF引脚) 3.进行编译 4.进行Debug 工作,通过编译。

5.. 生成FPGA代码,下载到实验板上并调试,看是否与实现了预期功能 操作方法: TOP: module alu_top(clk, switch, o_seg, o_sel); input wire clk; input wire[4:0] switch; output wire [7:0] o_seg; // 只需七段显示数字,不用小数点 output wire [3:0] o_sel; // 4个数码管的位选 wire[15:0] disp_num; reg [15:0] i_r, i_s; wire [15:0] disp_code; wire o_zf; //zero detector initial begin i_r <= 16'h1122; //0x1122 i_s <= 16'h3344; //0x3344 end alu M1(i_r, i_s, switch[4:2], o_zf, disp_code); display M3(clk, disp_num, o_seg, o_sel); assign disp_num = switch[0]?disp_code:(switch[1] ? i_s : i_r); endmodule

实验三集成混频器研究通信电路与系统实验

实验三 集成混频器的实验研究 一、实验目的 1.了解集成乘积混频器的工作原理及典型电路。 2.了解本振电压幅度和模拟乘法器的偏置电流对混频增益的影响。 3.学习利用直流负反馈改善集成混频器动态工作范围的方法。 4.观察混频器寄生通道干扰现象。 二、实验原理 当本振电压u L 和信号电压u s 皆为小信号(U Lm <<26mV ,U sm <<26mV)时,模拟乘法器的输出电压可表示为[1][4] []t t U U kT q R I u s L s L sm Lm L o )cos()cos(42 0ωωωω++-?? ? ??≈ (2-15) 式中,R L 为负载电阻,I 0为恒流源电流。 当u L 为大信号、u s 为小信号(U Lm 约为100~200mV ,U sm <<26mV)时,模拟乘法器的输出电压是多谐波的,可表示为[1][4] []2 01sin 2cos()cos()22 L o Lm sm L s L s n n I R q u U U t t n kT πωωωωπ∞ =?? ? ??≈?-++ ? ??? ??? ∑ (2-16) 其中最低的一组频率分量(n=1)为 []2 00.637cos()cos()2L o Lm sm L s L s I R q u U U t t kT ωωωω?? ≈-++ ??? (2-17) 式中,相乘因子较Lm u 为小信号时增大。 由上述讨论可知,若模拟乘法器输出端接有带通滤波器,也就是说接有中频为)(S L I ωωω-=的滤波网络作为负载,可取出所需的差频分量来实现混频。 三、实验电路说明 集成混频器的实验电路如图2-7所示。图中,晶体管VT 1与电容C 1、C 2、C 3、C 4及 L 1构成改进型电容三点式振荡电路,作为本地振荡器。晶体管VT 2和VT 3分别构成两级射随器起缓冲隔离作用。本振电压u L 从P1端口馈入,信号电压u s 从P2端口馈入。中频滤波网络为L 2、C 13、C 14构成的并联回路。VT4为缓冲隔离级。 在图2-7所示实验电路中,中频回路调谐于2MHz ,模拟乘法器及其外接元件的作用与前一个实验中的情况相似,只是R w4代替了接在MC1496P 引脚2和引脚3之间的固定反馈电阻R E 。电位器R w5用来调节乘法器的偏置电流I 5。另外,图中的P4端口是由中频回路副方输出的中频电压u I 。 四、实验仪器及设备 1.直流稳压电源 SS3323型 1台 2.数字示波器 DSO-X2012A 型 1台 3.高频信号发生器 TFG6080型 1台 4.数字万用表 DT9202型 1块 5.实验电路板 1块

5模拟乘法混频

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。 2、用实验箱的信号源做本振信号,将频率L f =8.7MHz (幅度V LP-P =300mV

模拟乘法器及其应用

模拟乘法器及其应用

摘要 模拟乘法器是一种普遍应用的非线性模拟集成电路。模拟乘法器能实现两个互不相关的模拟信号间的相乘功能。它不仅应用于模拟运算方面,而且广泛地应用于无线电广播、电视、通信、测量仪表、医疗仪器以及控制系统,进行模拟信号的变换及处理。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分立器件如二极管和三极管要简单的多,而且性能优越。 Analog multiplier is a kind of widely used nonlinear analog integrated multiplier can be achieved between two unrelated analog multiplication is not only applied in the simulation operation aspect, and widely used in radio, television, communications, measuring instruments, medical equipment and control system, the analog signal conversion and the high frequency electronic circuit, amplitude modulation, synchronous detection, mixing, frequency doubling, frequency, modulation and demodulation process, the same as can be seen as two signal multiplication or contain multiplication function is realized by using integrated analog multiplier than using discrete components such as diodes and transistors are much more simple, and superior performance.

混频仿真

通信电子线路实验 实验名称:混频器仿真 混频器的作用是在保持已调信号的调制规律不变的前提下,使信号的载波频率升高(上变频)或下降(下变频)到另一个频率。 一、晶体管混频器电路仿真 本实验电路为AM调幅收音机的晶体管混频电路,它由晶体管、输入信号源V1、本振信号源V2、输出回路和馈电电路等组成,中频输出465KHz的AM波。 电路特点:(1)输入回路工作在输入信号的载波频率上,而输出回路则工作在中频频率(即LC选频回路的固有谐振频率fi)。(2)输入信号幅度很小,在在输入信号的动态范围内,晶体管近似为线性工作。(3)本振信号与基极偏压Eb共同构成时变工作点。由于晶体管工作在线性时变状态,存在随U L周期变化的时变跨导g m(t)。 工作原理:输入信号与时变跨导的乘积中包含有本振与输入载波的差频项,用带通滤波器取出该项,即获得混频输出。 在混频器中,变频跨导的大小与晶体管的静态工作点、本振信号的幅度有关,通常为了使混频器的变频跨导最大(进而使变频增益最大),总是将晶体管的工作点确定在:U L=50~200mV,I EQ=0.3~1mA,而且,此时对应混频器噪声系数最小。 1、直流工作点分析 使用仿真软件中的“直流工作点分析”,测试放大器的静态直流工作点。 注:“直流工作点分析”仿真时,要将V1去掉,否则得不到正确结果。因为V1与晶体管基极之间无隔直流回路,晶体管的基极工作点受V1影响。若在V1与Q1之间有隔直流电容,则仿真时可不考虑V1的存在。 2、混频器输出信号“傅里叶分析”

选取电路节点8作为输出端,对输出信号进行“傅里叶分析”,参数设置为: 基频5KHz,谐波数为120,采用终止时间为0.001S,线性纵坐标请对测试结果进行分析。在图中指出465KHz中频信号频谱点及其它谐波成分。 注:傅里叶分析参数选取原则:频谱横坐标有效范围=基频×谐波数,所以这里须进行简单估算,确定各参数取值。 分析:图中最高频谱点在465KHZ的中频信号成分,同时电路中还有较弱的其他谐波成分。 二、模拟乘法器混频电路 模拟乘法器能够实现两个信号相乘,在其输出中会出现混频所要求的差频(ωL-ωC),然后利用滤波器取出该频率分量,即完成混频。 与晶体管混频器相比,模拟乘法器混频的优点是:输出电流频谱较纯,可以减少接收系统的干扰;允许动态范围较大的信号输入,有利于减少交调、互调干扰。 1、混频输入输出波形测试 在仿真软件中构建如下模拟乘法器混频电路,启动仿真,观察示波器显示波形,分析实验结果。

8.模拟乘法器的应用-乘积型混频器

模拟乘法器的应用 ——乘积型混频器 学号:200800120228 姓名:辛义磊仪器编号:30 一、实验目的 1、掌握集成模拟乘法器的工作原理及其特点 2、进一步掌握集成模拟乘法器(MC1596/1496)实现振幅调制、同步检波、混频、倍频的电路调整与测试方法 二、实验仪器 低频信号发生器 高频信号发生器 频率计 稳压电源 万用表 示波器 三、实验原理与实验电路 集成模拟乘法器是继集成运算放大器后最通用的模拟集成电路之一,是一种多用途的线性集成电路。可用作宽带、抑制载波双边带平衡调制器,不需要耦合变压器或调谐电路,还可作为高性能的SSB乘法检波器、AM调制解调器、FM解调器、混频器、倍频器、鉴相器等,它与放大器相结合还可以完成许多数学运算,如乘法、除法、乘方、开放等。 MC1496的内部电路继引脚排列如图所示

MC1496型模拟乘法器只适用于频率较低的场合,一般工作在1MHz以下的频率。双差分对模拟乘法器MC1496/1596的差值输出电流为 MC1595是差值输出电流为 式中,错误!未找到引用源。为乘法器的乘法系数。 MC1496/1596使用时,VT 1至VT 6 的基极均需外加偏置电压。 实验电路 四、实验步骤

检查电路无误后接通电源,完成如下操作: 1、 当本振信号的频率为43 .4=L f MHz 、振幅为5 .0≤-p p V V ,输入信号的频率 为4 =C f MHz ,振幅为50 ≤-p p V mV 时,观察并测绘输入输出信号波形,记 录I L C f f f 、、。 2、当本振信号的频率为43.4=L f MHz 、振幅为5.0≤-p p V V ,输入信号的振幅为 50 ≤-p p V mV 时,改变输入信号频率C f (在3.9-4.1MHz 之间,每隔200kHz 测量 一次),测量输出信号的频率和幅度,记录在表格中,并由此计算带通滤波器的 通频带宽度。 f c 3.9MHz 4.0MHz 4.1MHz f 4.43 MHz 4.43 MHz 4.43 MHz v 500mV 500mV 500mV 3、保持两输入信号的频率及本振信号幅度不变,改变输入信号振幅V sm (峰峰值在40-100mV 之间变化)的大小,逐渐测量输入V sm 和中频输出V im 。将测量及计算结果填入表格中,并完成下列任务: ①计算混频增益A vc 。将混频电压增益A vc 定义为变频器中频输出电压幅值与输入信号幅值之比,以分贝表示为sm vc V V A Im lg 20= ②作出V sm 和V im 的关系曲线 V sm 40 mV 60 mV 80 mV 100mV V im 60mV 85mV 100mV 120mV 五、思考题

8位乘法器实验报告

6.2 8位乘法器的设计 1.实验目的 (1)熟悉isEXPERT/MAX+plusisEXPERT/MAX+plus II/Foudation Series 软件的基本使用方法。 (2)熟悉GW48-CK EDA实验开发系统的基本使用方法。 (3)学习VHDL基本逻辑电路的综合设计。 2.实验内容 设计并调试好由8位加法器构成的以时序逻辑方式设计的8位乘法器。此乘法器通过判断被乘数的位值为1还是零,并通过乘数的左移与上一次和相加的方法,实现了8位乘法的运算,并用GW48-CK EDA实验开发系统进行硬件验证。 3.实验条件 (1)开发设备:Lattice ispEXPERT。 (2)实验设备:GW48-CK EDA实验开发系统。 (3)拟用芯片:ispLSI1032E PLCC-84或EPF10K10LC84-3或XCS05/XL PLCC84以及运算控制电路和外部时钟。 4.实验设计 1)系统的原理框图

2)VHDL源程序 (1)选通与门模块的源程序ANDARITH.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ANDARITH IS PORT(ABIN: IN STD_LOGIC; DIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT: OUT STD_LOGIC_vector(7 DOWNTO 0)); END ENTITY ANDARITH; ARCHITECTURE ART OF ANDARITH IS BEGIN PROCESS(ABIN,DIN)IS BEGIN FOR I IN 0 TO 7 LOOP DOUT(I)<=DIN(I)AND ABIN; END LOOP; END PROCESS; END ARCHITECTURE ART; (2)16位锁存器的源程序REG16B.VHD LIBRARY IEEE;

混频器实验

实验二混频器仿真实验 一.无源混频器仿真实验 二极管环形混频电路 载频是f L=1kHz,调制频率为f R=100Hz,因此混频后会出现f L f R f L- f R==900Hz ,f L+ f R=1100Hz,如图所示前两个峰值。由于二级管的开关作用,还会产生组合频率,不过幅度会随次数的增加而减小,如图所示后两个峰值。 二.有源混频器仿真实验 1.三极管单平衡混频电路 直流分析 傅里叶分析 差模输出将直流分量抵消,组合频率分量也被抵消了,本振不会馈通。但是由于射频信号是非平衡的,所以射频信号带入的直流分量与本振信号相乘后产生了较大幅值的本振频率分量,并且在频谱中还是会出现少量本振信号的奇次谐波与射频相混频的频率分量,单平衡混频电路有效地抑制了高频率分量,单节点输出存在低频分量过大的问题,但使用差分放大器的双点输出能够很好地解决这个缺陷。但与无源混频器相比,出现了大量的杂波。 2.加入有源滤波器后

混频后得到上下变频分量,通过一个带通滤波器,滤除上变频以及本振频率分量,只剩下下变频。 3.吉尔伯特单元混频电路 由于射频信号差分输入,因此在输出的时候射频直流分量被抵消,本振不会馈通。由于是双差分输入,频谱较为纯净。但是由于吉尔伯特电路也是通过本振大信号作为开断信号对输出信号采样,因此也产生了本振信号的奇次谐波的分量与射频信号相混频产生的组合频率分量。

加入有源滤波器后 本电路将作为接收机电路的前端。与单平衡电路的频谱比较起来更加纯净,无用的频率分量更少,幅值更小。 思考题: 1. 吉尔伯特电路是双平衡电路,而三极管是单平衡电路,它们的区别体现在射频信号是否是平衡的,吉尔 伯特电路射频信号是平衡的,射频信号中蕴含的直流分量在输出时被抵消,因此不会产生本振信号馈通。而三极管单平衡电路产生馈通和许多组合频率分量。 当频率增加后会更加明显,因为各个频点上的幅值都会降低,区别显得更加突出。 2.如图,该二阶带通有源滤波器的截止频率在1k 与1.4k 附近正好可以滤去不需要的分量。 二阶带通有源滤波器的BW : 要想BW 变为原来的80%。只能改变 。即 变为1.92 。R8变为76.8kohm 或R7变为40.625Kohm 。 或者比值保持1.92。 01 222F F f f R R BW f R R RC π????=-?=-? ? ? ? ???? ?F f R R F f R R

模拟乘法器调幅AM、DSB、SSB实验报告

模拟乘法器调幅(AM、DSB、SSB)实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

混频器仿真实验报告

混频器实验(虚拟实验) 姓名:郭佩学号:04008307 (一)二极管环形混频电路 傅里叶分析 得到的频谱图为 分析:可以看出信号在900Hz和1100Hz有分量,与理论相符 (二)三极管单平衡混频电路 直流分析

傅里叶分析 一个节点的傅里叶分析的频谱图为 两个节点输出电压的差值的傅里叶分析的频谱图为:

分析:同样在1K的两侧有两个频率分量,900Hz和1100Hz 有源滤波器加入电路后 U IF的傅里叶分析的频谱图为: U out节点的傅里叶分析的频谱图为:

分析:加入滤波器后,会增加有2k和3k附近的频率分量 (三)吉尔伯特单元混频电路 直流分析 傅里叶分析 一个节点的输出电压的傅里叶分析的参数结果与相应变量的频谱图如下: 两个节点输出电压的差值的傅里叶分析的参数结果与相应变量的频谱图为:

分析:1k和3k两侧都有频率分量,有IP3失真 将有源滤波器加入电路 U IF的傅里叶分析的参数结果与相应变量的频谱图为: U out节点的傅里叶分析的参数结果与相应变量的频谱图为:

分析:有源滤波器Uout节点的傅里叶分析的频谱相对于Uif的傅里叶分析的频谱来说,其他频率分量的影响更小,而且Uout节点的输出下混频的频谱明显减小了。输出的电压幅度有一定程度的下降。 思考题: (1)比较在输入相同的本振信号与射频信号的情况下,三极管单平衡混频电路与吉尔伯特混频器两种混频器的仿真结果尤其是傅里叶分析结果的差异,分析其中的原因。若将本振信号都设为1MHz,射频频率设为200kHz,结果有何变化,分析原因。 答:没有改变信号频率时 三极管 吉尔伯特 吉尔伯特混频器没有1k、2k、3k处的频率分量,即没有本振信号的频率分量,只有混频后的频率分量。因为吉尔伯特混频器是双平衡对称电路结果,有差分平衡。 将本振信号频率和射频频率改变后:

模拟乘法器调幅(AM、DSB、SSB)实验报告

实验十二模拟乘法器调幅(AM、DSB、SSB) 一、实验目的 1.掌握用集成模拟乘法器实现全载波调幅。抑止载波双边带调幅和单边带调幅的方法。 2.研究已调波与调制信号以及载波信号的关系。 3.掌握调幅系数的测量与计算方法。 4.通过实验对比全载波调幅、抑止载波双边带调幅和单边带调幅的波形。 5.了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 二、实验内容 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 4.实现单边带调幅。 三、实验原理 幅度调制就是载波的振幅(包络)随调制信号的参数变化而变化。本实验中载波是由晶体振荡产生的465KHz高频信号,1KHz的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 1.集成模拟乘法器的内部结构 集成模拟乘法器是完成两个模拟量(电压或电流)相乘的电子器件。在高频电子线路中,振幅调制、同步检波、混频、倍频、鉴频、鉴相等调制与解调的过程,均可视为两个信号相乘或包含相乘的过程。采用集成模拟乘法器实现上述功能比采用分离器件如二极管和三极管要简单得多,而且性能优越。所以目前无线通信、广播电视等方面应用较多。集成模拟乘法器常见产品有BG314、F1596、MC1495、MC1496、LM1595、LM1596等。 (1)MC1496的内部结构 在本实验中采用集成模拟乘法器MC1496来完成调幅作用。MC1496是四象限模拟乘法器。其内部电路图和引脚图如图12-1所示。其中V1、V2与V3、V4组成双差分放大器,以反极性方 式相连接,而且两组差分对的恒流源V5与V6又组成一对差分电路,因此恒流源的控制电压可 图12-1 MC1496的内部电路及引脚图 正可负,以此实现了四象限工作。V7、V8为差分放大器V5与V6的恒流源。 (2)静态工作点的设定 1)静态偏置电压的设置

实验三---集成乘法器幅度调制实验

实验三---集成乘法器幅度调制实验

高频实验报告实验名称:集成乘法器幅度调制实验 南京理工大学紫金学院电光系一、实验目的

a) 通过实验了解集成乘法器幅度调制的工作原理,验证普通调幅波(AM ) 和抑制载波双边带调幅波(AM SC DSB -/)的相关理论。 b) 掌握用集成模拟乘法器MC1496实现AM 和DSB-SC 的方法,并研究调制信 号、载波信号与已调波之间的关系。 c) 掌握在示波器上测量与调整调幅波特性的方法。 二、实验基本原理与电路 1.调幅信号的原理 (一) 普通调幅波(AM )(表达式、波形、频谱、功率) (1).普通调幅波(AM )的表达式、波形 设调制信号为单一频率的余弦波: t U u m Ω=ΩΩcos ,载波信号为 : t U u c cm c ωcos = 普通调幅波(AM )的表达式为AM u =t t U c AM ωcos )()cos 1(t m U a cm Ω+=t c ωcos 式中, a m 称为调幅系数或调幅度。 由于调幅系数a m 与调制电压的振幅成正比,即 m U Ω越大, a m 越大,调幅波 幅度变化越大, 一般 a m 小于或等于1。如果 a m >1,调幅波产生失真,这种情况称为过调幅。 未调制状态调制状态 m a Ucm ω0 Ω 图3-1 调幅波的波形 (2). 普通调幅波(AM )的频谱 普通调幅波(AM )的表达式展开得: t U m t U m t U u c cm a c cm a c cm AM )cos(2 1 )cos(21cos Ω-+Ω++ =ωωω 它由三个高频分量组成。将这三个频率分量用图画出,便可得到图

变频器实验报告

实验一变频器的面板操作与运行 一、实验目的和要求 1. 熟悉变频器的面板操作方法。 2. 熟练变频器的功能参数设置。 3. 熟练掌握变频器的正反转、点动、频率调节方法。 4.通过变频器操作面板对电动机的启动、正反转、点动、调速控制。 二、实验仪器和用具 西门子MM420变频器、小型三相异步电动机、电气控制柜、电工工具(1套)、连接导线若干等。 三、实验内容和步骤 1.按要求接线 系统接线如图2-1所示,检查电路正确无误后, 合上主电源开关Q S。 图2-1 变频调速系统电气图 2.参数设置 (1)设定P0010=30和P0970=1,按下P键,开始复位,复位过程大约3min,这样就可保证变频器的参数回复到工厂默认值。 (2)设置电动机参数,为了使电动机与变频器相匹配,需要设置电动机参数。电动机参数设置见表2-2。电动机参数设定完成后,设P0010=0,变频器当前处于准备状态,可正常运行。 表2-2 电动机参数设置

(3)设置面板操作控制参数,见表2-3。 3.变频器运行操作 (1)变频器启动:在变频器的前操作面板上按运行键,变频器将驱动电动机升速,并运行在由P1040所设定的20Hz频率对应的560r∕min的转速上。 (2)正反转及加减速运行:电动机的转速(运行频率)及旋转方向可直接通过按前操作面板上的键∕减少键(▲/▼)来改变。 (3)点动运行:按下变频器前操作面板上的点动键,则变频器驱动电动机升速,并运行在由P1058所设置的正向点动10Hz频率值上。当松开变频器前错做面板上的点动键,则变频器将驱动电动机降速至零。这时,如果按下一变频器前操作面板上的换向键,在重复上述的点动运行操作,电动机可在变频器的驱动下反向点动运行。 (4)电动机停车:在变频器的前操作面板上按停止键,则变频器将驱动电动机降速至零。 四、实验思考 1. 怎样利用变频器操作面板对电动机进行预定时间的启动和停止? 答:P0010=30,P0970=1,变频器恢复出厂设置; P701=0,屏蔽原来端子启动功能; P2800=1,使能内部功能自由块; P2802=1,使能内部定时器; P2849=1,连接定时器启动命令; P2850=1,设定延时时间(假设1s); P2851=1,定时器延时动作方式; P0840=2852.0,连接变频器启动命令。 2. 怎样设置变频器的最大和最小运行频率? 答:P0010=30;P0970=1,按下P键(约10秒),开始复位。 一般P1080=0;电动机运行的最低频率(HZ) P1082=50;电动机运行的最高频率(HZ)。

Booth乘法器实验报告

运算器部件实验:Booth乘法器 班级:软件工程 一、实验目的 理解并掌握乘法器的原理。 二、实验原理 Booth算法是一种十分有效的计算有符号数乘法的算法。算法的新型之处在于减法也可用于计算乘积。Booth发现加法和减法可以得到同样的结果。因为在当时移位比加法快得多,所以Booth发现了这个算法,Booth算法的关键在于把1分类为开始、中间、结束三种,如下图所示 当然一串0或者1的时候不操作,所以Booth算法可以归类为以下四种情况: Booth算法根据乘数的相邻2位来决定操作,第一步根据相邻2位的4中情况来进行加或减操作,第二部仍然是将积寄存器右移,算法描述如下: (1)根据当前为和其右边的位,做如下操作: 00: 0的中间,无任何操作; 01: 1的结束,将被乘数加到积的左半部分; 10:1的开始,积的左半部分减去被乘数; 11: 1的中间,无任何操作。 (2)将积寄存器右移1位。 因为Booth算法是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移。同时如果乘数或者被乘数为负数,则其输入为该数的补码,若积为负数,则输出结果同样为该数的补码。

三、实验步骤 (1)打开QuartusII (2)将子板上的JTAG端口和PC机的并行口用下载电缆连接,打开试验台电源。 (3)执行Tools→Programmer命令,将booth_multiplier.sof下载到FPGA 中。 (4)在实验台上通过模式开关选择FPGA-CPU独立调试模式010. (5)将开关CLKSEL拨到0,将短路子DZ3短接且短路子DZ4断开,使FPGA-CPU 所需要的时钟使用正单脉冲时钟。 四、实验现象 五、具体代码实现 端口声明: port ( clk: in std_logic; md : in std_logic_vector(3 downto 0); mr : in std_logic_vector(3 downto 0);

模拟乘法混频实验报告

模拟乘法混频实验报告 姓名: 学号: 班级: 日期:

模拟乘法混频 一、实验目的 1. 进一步了解集成混频器的工作原理 2. 了解混频器中的寄生干扰 二、实验原理及实验电路说明 混频器的功能是将载波为vs (高频)的已调波信号不失真地变换为另一载频(固定中频)的已调波信号,而保持原调制规律不变。例如在调幅广播接收机中,混频器将中心频率为535~1605KHz 的已调波信号变换为中心频率为465KHz 的中频已调波信号。此外,混频器还广泛用于需要进行频率变换的电子系统及仪器中,如频率合成器、外差频率计等。 混频器的电路模型如图1所示。 图1 混频器电路模型 混频器常用的非线性器件有二极管、三极管、场效应管和乘法器。本振用于产生一个等幅的高频信号VL ,并与输入信号 VS 经混频器后所产生的差频信号经带通滤波器滤出。目前,高质量的通信接收机广泛采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,而在一般接收机(例如广播收音机)中,为了简化电路,还是采用简单的三极管混频器。本实验采用集成模拟相乘器作混频电路实验。 图2为模拟乘法器混频电路,该电路由集成模拟乘法器MC1496完成。 V s V

+12 -12 J7J8 J9 C12104 C11104 C7104 C15104 C8104 R101K R11200 R12820 R13820 R71K R14100 R153.3K R163.3K R216.8K R20510 R171k F24.5M D28.2V C16104 TH6 TH7 TH8 TH9 TP5 SIG+ 1 G N A D J 2 G N A D J 3 SIG- 4 B I A S 5 OUT+6NC 7CAR+8 NC 9CAR- 10 NC 11OUT-12 NC 13V E E 14 U1 MC1496 图2 MC1496构成的混频电路 MC1496可以采用单电源供电,也可采用双电源供电。本实验电路中采用+12V ,-8V 供电。R12(820Ω)、R13(820Ω)组成平衡电路,F2为4.5MHz 选频回路。本实验中输入信号频率为 fs =4.2MHz ,本振频率fL =8.7MHz 。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压VS 和本振电压VL 外,不可避免地还存在干扰和噪声。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。干扰是由于混频器不满足线性时变工作条件而形成的,因此干扰不可避免,其中影响最大的是中频干扰和镜象干扰。 三、 实验仪器与设备 高频电子线路综合实验箱; 高频信号发生器; 双踪示波器; 频率计。 四、实验步骤 1. 打开本实验单元的电源开关,观察对应的发光二极管是否点亮,熟悉电路各部分元件的作用。

混频器实验

实验5 乘法器的应用3---混频器实验 一、实验目的 1. 熟悉集成电路实现的混频器的工作原理。 2. 了解混频器的多种类型及构成。 3. 了解混频器中的寄生干扰。 二、预习要求 1. 预习混频电路的有关资料。 2. 认真阅读实验指导书,对实验电路的工作原理进行分析。 三、实验仪器 1. 双踪示波器 2. 高频信号发生器(最好有产生调制信号功能的信号源) 3. 频率计 4. 实验板GPMK7 四、实验电路说明 目前高质量的通信接收机中多采用二极管环形混频器和由双差分对管平衡调制器构成的混频器,本实验采用的是集成模拟乘法器(MC1496)构成的混频电路。 用模拟乘法器实现混频,只要u x 端和u y 端分别加上两个不同频率的信号,相差一中频如1.5MHz ,再经过带通滤波器取出中频信号,其原理方框图如图5-1所示 5-1 混频原理框图 若输入信号为: ()cos x sm s u t U t ω= 本振信号为: ()c o s y c m c u t U t ω= 则混频信号为: []12c s c s ()cos cos cos()t cos()t o cm sm c s sm cm u t KU U t t KU U ωωωωωω=?=++- c s i ωωω-= 为某中频频率。 若输入信号为:()(1cos )cos x sm a s u t U m t t ω=+Ω 本振信号为:()cos y cm c u t U t ω= 则混频信号为:c s ()(1cos )cos()o om a u t U m t t ωω=+Ω-

由MC1496 模拟乘法器构成的混频器电路如图5-2所示。注意:电源+12V -12V 本振信号U C(频率为6MHz)接到乘法器的⑽脚,将调幅波信号U S(频率为4.5MHz)接到乘法器的⑴脚,混频后的中频信号由乘法器的⑹脚输出,经形带通滤波器(其调谐在1.5MHz,带宽为450KHz)由电路输出端OUT得到差频(1.5MHz)信号(即:所谓中频信号)。 为了实现混频功能,混频器件必须工作在非线性状态,而作用在混频器上的除了输入信号电压U S和本振电压U C外,不可避免地存在干扰和噪声信号。它们之间任意两者都有可能产生组合频率,这些组合信号频率如果等于或接近中频,将与输入信号一起通过中频放大器、解调器,对输出级产生干涉,影响输入信号的接收。因此不可避免地会产生干扰,其中影响最大的是中频干扰和镜像干扰。 图5-2 混频电路板 五、实验内容与步骤 1.中频频率的观测 将实验板GPMK1中的晶体振荡器产生的6MHz(幅值为0.2V P-P)信号作为本振信号接到混频电路的IN1端,高频信号发生器的输出(4.5MHz,0.1V P-P的载波)信号接到混频电路的IN2端,观测混频电路输出端OUT的输出波形和频率(中频),可适当调节RP使输出波形最大,记录测试结果。 2.镜像干涉频率的观测 用双踪示波器观测IN2端和OUT端的波形,缓慢调节高频信号发生器的输出频率(由4.5MHz调至7.5MHz,以0.3MHz步长填写下表),观测调幅波和中频,并记录。验证下列关系。 f镜像-f调幅波=2f中频 f in2 4.2MHz 6MHz 7.8MHz U out f out 描出输出端滤波器的频响特性 3.倍频实验观测(注:两端要在平衡条件下相乘)

模拟乘法器调幅实验报告

模拟乘法调幅(AM、DSB) 实验报告 姓名: 学号: 班级: 日期:

模拟乘法调幅(A M、DSB )模块4 一、实验目的 1、掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅方法。 2、研究已调波与调制信号以及载波信号的关系。 3、掌握调幅系数的测量与计算方法。 4、通过实验对比全载波调幅、抑止载波双边带调幅波形。 5、了解模拟乘法器(MC1496)的工作原理,掌握调整与测量其特性参数的方法。 6、掌握用集成模拟乘法器构成调幅与检波电路的方法。 二、实验原理 调幅与检波原理简述: 调幅就是用低频调制信号去控制高频振荡(载波)的幅度,使高频振荡的振幅按调制信号的规律变化;而检波则是从调幅波中取出低频信号。 本实验中载波是465KHz 高频信号,10KHz 的低频信号为调制信号。 集成四象限模拟乘法器MC1496简介: 本器件的典型应用包括乘、除、平方、开方、倍频、调制、混频、检波、鉴相、鉴频动态增益控制等。它有两个输入端VX 、VY 和一个输出端VO 。一个理想乘法器的输出为VO=KVXVY ,而实际上输出存在着各种误差,其输出的关系为:VO=K (VX +VXOS )(VY+VYOS )+VZOX 。为了得到好的精度,必须消除VXOS 、VYOS 与VZOX 三项失调电压。集成模拟乘法器MC1496是目前常用的平衡调制/解调器,内部电路含有8 个有源晶体管。 MC1496的内部原理图和管脚功能如下图所示: MC1496各引脚功能如下: 1)、SIG+ 信号输入正端 2)、GADJ 增益调节端 3)、GADJ 增益调节端 4)、SIG- 信号输入负端 5)、BIAS 偏置端 6)、OUT+ 正电流输出端 7)、NC 空脚 8)、CAR+ 载波信号输入正端 9)、NC 空脚 10)、CAR- 载波信号输入负端 11)、NC 空脚 12)、OUT- 负电流输出端 13)、NC 空脚 14)、V- 负电源 实验电路说明 用MC1496集成电路构成的调幅器电路如下图所示 14131211109876 54 32 1SIG+GADJ GADJ SIG-BIAS OUT+NC V-NC OUT-NC CAR-NC CAR+ 126 23 14 51 1084

混频器实验

混频器实验(虚拟实验) 姓名:王欢学号:04010218 (一)二极管环形混频电路 傅里叶分析 得到的频谱图为 分析: 信号在900Hz和1100Hz有分量,与理论相符 (二)三极管单平衡混频电路 直流分析 傅里叶分析 一个节点的傅里叶分析的频谱图为

两个节点输出电压的差值的傅里叶分析的频谱图为: 分析: 在1K的两侧有两个频率分量,900Hz和1100Hz 有源滤波器加入电路后 U IF的傅里叶分析的频谱图为:

U out节点的傅里叶分析的频谱图为: 分析: 加入滤波器后,会增加有2k和3k附近的频率分量(三)吉尔伯特单元混频电路 直流分析

傅里叶分析 一个节点的输出电压的傅里叶分析的参数结果与相应变量的频谱图如下: 两个节点输出电压的差值的傅里叶分析的参数结果与相应变量的频谱图为:

分析: 1k和3k两侧都有频率分量,有IP3失真 将有源滤波器加入电路 U IF的傅里叶分析的参数结果与相应变量的频谱图为: U out节点的傅里叶分析的参数结果与相应变量的频谱图为:

分析: 有源滤波器Uout节点的傅里叶分析的频谱相对于Uif的傅里叶分析的频谱来说,其他频率分量的影响更小,而且Uout节点的输出下混频的频谱明显减小了。输出的电压幅度有一定程度的下降。 思考题(教材P116): (1)比较在输入相同的本振信号与射频信号的情况下,三极管单平衡混频电路与吉尔伯特混频器两种混频器的仿真结果尤其是傅里叶分析结果的差异,分析其中的原因。若将本振信号都设为1MHz,射频频率设为200kHz,结果有何变化,分析原因。 答:没有改变信号频率时吉尔伯特混频器没有1k、2k、3k处的频率分量,即没有本振信号的频率分量,只有混频后的频率分量。因为吉尔伯特混频器是双平衡对称电路结果,有差分平衡。 将本振信号频率和射频频率改变后, 本振信号输入频率增大后,经过混频器后的IP3也有增加,即混频器的线性范围也会加宽。 (2)对图18中加入的有源滤波器的特性进行分析,对其幅频特性、相频特性进行仿真。若要使得滤波器的带宽减小20%,应对滤波器元件参数如何调整。将调整带宽后的滤波器与混频器相连,比较前后傅里叶分析的结果异同,分析原因。

相关文档
最新文档