四路彩灯课程设计

四路彩灯课程设计
四路彩灯课程设计

湖南第一师范学院课程设计报告

四路彩灯显示系统

起止日期:自2011年12月19日至2011年12月30日

信息科学与工程系

系(部)

Xx

学生姓名

xxxxxxxxxxx

班级

xxxxxxxxxxxx

学号

成绩

xxxxxxxxxx

指导教师(签字)

2011年12月30日

湖南第一师范学院课程设计任务书

2011-2012学年第一学期

系(部)信息科学与工程系专业电子科学与技术班级 xxx 课程名称:数字电子技术

设计题目:四路彩灯显示系统

指导教师(签字):年月日教研室主任(签字):年月日

目录

1 概述 (1)

1.1 前言 (1)

1.2 设计要求 (1)

1.3设计总思路 (1)

2 方案的选择 (1)

3单元电路的设计和元器件的选择 (2)

3.1时钟脉冲产生电路 (2)

3.2循环控制电路 (3)

3.3彩灯花样输出电路 (4)

3.1.2运用到74LS194功能表 (4)

3.1.3制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'

端真值表 (5)

3.4各元器件逻辑符号与引脚图解 (5)

4 经验体会 (7)

4.1设计过程中遇到的问题及解决方案 (7)

4.2设计体会 (7)

4.3对该设计的建议 (8)

参考文献 (8)

附录A彩灯花样输出电路连线图 (9)

附录B 总电路设计 (10)

附录C EDA仿真电路图 (11)

附录D 元器件清单 (12)

1 概述

1.1 前言

用中规模集成电路设计并制作一个四路彩灯显示系统,可用于节日庆典,医院病房等多处地方,同用单片机控制相比,它具有准确,不易受外界干扰出错,因而得到了广泛的应用。小到人们日常生活中的电子贺卡,大到宾馆、医院等公共场所的大型数显电子钟。

1.2 设计要求

用中规模集成电路设计并制作一个四路彩灯显示系统,要求如下:

1·开机自动置入初始状态后即能按规定的程序进行循环显示。

2·程序由三个节拍组成:第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二,第三,第四路彩灯点亮。第二节拍时,Q4~Q1依次为0,使第四路彩灯先灭,然后使第三,第二,第一路彩灯灭。第三节拍时,Q1~Q4输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执行一次程序共需12秒3·用发光二极管显示彩灯系统的各节拍;

1.3设计总思路

程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。

2 方案的选择

计数器的方案有多种,主要有:

方案1 采用单片机控制电路为主实现四路彩灯显示。

运用C语言编程,然后编译,通过单片机内部实现四路彩灯的显示,编译成功后通过下载机下载至芯片,然后连接即可实现预先功能。优点是连接线路较少,只要编译成功就能实现,缺点是编程较为麻烦,容易出错,同时用单片机控制不宜与体现数电运用实践的能力,不利于理解底层电路的连接于实现。

方案2 采用多路芯片组合实现四路彩灯显示系统。

要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,

彩灯花样输出电路由74LS194和相关逻辑电路实现。优点是不用编程,不要编译只要正确连接就能正常工作,缺点是必须掌握所有芯片的引脚功能及作用,连线较为复杂。

图1.3系统框图

将上述方案进行比较得之,运用单片机易于实现本项目,但是根据设计任务的要求,各方案的优缺点、设计制作所具备的条件,本例作为传统电子设计方法的实例,采用方案2实现。3单元电路的设计和元器件的选择

3.1时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为: T=0.7(R1+2R2)C………………………(1-1)

控制74LS161模十六计数器电阻值和电容值可设为:

R1=1KΩ, R2=20KΩ, C=0.01μF

由公式(1-1)计算得:T=0.287S

图3.1.1时钟电路

NE555

74LS161

图3.1.2NE555与74LS161构成的时钟产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,控制74LS161模十六计数器,74LS161A输出2倍T的脉冲信号;B输出4倍T的脉冲信号,大约为1S

3.2循环控制电路

如果模N计数器的计数序列从最小0到最大数N-1,那么N是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR’,将计数器清零。此处工作状态从0000~1011,检测1100(异步清零)其电路图如图3.2所示。

5_VIRTUA L

图3.2 循环控制电路(74LS161用74LS163代替)

3.3彩灯花样输出电路

3.3.1运用到74LS194功能表

图3.1.2 74LS194功能表

3.3.2制计数器的输出端的C 、D 信号控制移位寄存器的S0和S1及其CLR'端真值表

由上表由: S0=QC' S1=QD+QC CLR '=(QD*A+QD ')

进一步分析可知74LS194脉冲控制: CLK=(QD*A+QD ')*QD+B 3.4各元器件逻辑符号与引脚图解

图3.4.A74LS194逻辑符号和引脚图

图3.4B 74LS163引脚图图3.4C 74LS20引脚图

图3.4D 74LS20内部结构图图3.4E 74LS09内部引脚图

图3.4F 74LS04引脚图及内部结构

图3.4G 74LS32引脚图及内部结构

4 经验体会

4.1设计过程中遇到的问题及解决方案

1)彩灯只有一种花样变化,没有其它的花样:

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能完好。特别注意不能把非门的输入与输出接反了。

2)彩灯无规律变化:

原因可能是12进制循环控制电路不能产生符合要求的控制码,检查模十六计

数器的CP脉冲是否稳定,看74LS161是否能计数,即Q

A 、Q

B

、Q

C

、Q

D

是否周期性的

高低电平变化,前面没问题,再检查与非门74LS20门74LS04是否能实现它们逻辑功能。

3)彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于12进制,导致有放空时间。应该检查74LS20是否正常工作,确保能实现12进制计数。

4.2设计体会

本来当初选题是要做自动控制延时电路,比较盲目,最后遇到了瓶颈,要用220v电压供电,最后不得不放弃该项目,转而开始这个项目。看似简单的功能做起来并不简单。两周的实习很快就过去了,过程中出现了很多波折,在这次实习中我学到了很多东西,不仅仅是专业知识

首先是四路彩灯电路的设计,我发现自己对芯片的功能还是不太了解,后来又重新查了一遍,选择了合适的芯片。但又在连线时出了问题,出了问题并不重要,重要的是让自己冷静下来,用心去找出问题的所在。然后用自己所学知识解决掉问题,硬是不能解决的,可以去请教别人,但在问别人的时候,一定要理解对方是怎样解决掉这个问题的,否则你将一无所获,下次遇到这个问题你一样又不知道。

其次是电路的连接,线路连接比画图难多了。在连接的时候先要检查芯片、电线等。在连接的时候也要非常的细心,而且要有耐心,只要接错一根,就前功

尽弃了。因此,要学会耐心细致的做一件事,不要毛躁,不要粗心。还有以后做事的时候一定要事先考查清楚,不能盲目选择没有目标。

最后,我要感谢学校给我们这样的实践机会,特别要感谢肖主任对我们这组的大力支持。亲自帮助我们修改电路,总之,这次课程设计,让我体会到了团队合作的重要性,让我以后做事更有耐心,更加细心。让我能够更加从容的面对未来的机遇与挑战。

4.3对该设计的建议

(1).先检查各芯片的电源和地是否接上,检查线路是否连好;前面的检查无问题后,再根据彩灯的变化情况,确定可能的原因,分析是哪个功能模块出了问题,用数字万用表检查各模块的功能,发现并改正错误,直到符合要求为止。(2).对于中等规模以上的电路,可以分模块去实现不同的功能。各个功能实现后然后再组合,这样便于检查错误和扩展功能。

参考文献

[1] 余孟尝. 数字电子技术基础[M]. 北京: 高等教育出版社, 2006

[2] 方大千,鲍俏伟.实用电子控制电路[M].第一版.北京:国防工业出版社,2003

[3] 肖景和。数字集成电路应用精粹.北京:人民邮电出版社,2002

[4] 李忠波,袁宏.电子设计与仿真技术.北京:机械工业出版社,2004

[5] 历雅萍、易映萍.电子技术课程设计.北京:高等教育出版社,2002

附录A彩灯花样输出电路连线图

A彩灯花样输出电路

附录B 总电路设计

图B总电路设计图

附录C EDA仿真电路图

图C EDA仿真图

附录D 元器件清单

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

课程设计--四花样彩灯控制器

课程设计--四花样彩灯控制器

2012 ~ 2013 学年第二学期 《数字电子技术》 课程设计报告 题目:四花样彩灯控制器 专业:电子信息工程 班级: 11 电信一班 姓名:孙叶林陶轮汪宏俊汪义涛王安 亚 王劲松王亮亮王向阳魏伟指导教师:周旭胜 电气工程系 2013年5月30日

任务书 课题名称四花样彩灯控制器 指导教师(职称)周旭胜 执行时间2012~ 2013 学年第 2学期第 14 周学生姓名学号承担任务 王安亚1109121033 设计总电路图1 汪宏俊1109121031 设计总电路图2 陶轮1109121030 负责对比两个总电路图 汪义涛1109121032 设计555时钟脉冲产生电路 王向阳1109121036 设计四种码产生电路 王劲松1109121034 设计输出电路 魏伟1109121037 设计开关电路 王亮亮1109121035 查找参考资料 孙叶林1109121029 负责写课程设计报告 设计目的 通过设计方案的比较,对比电路的复杂与简单,器件的市场价格等方面因素,来选择一种比较好的可行性设计方案 设计要求(1) 彩灯一亮一灭,从左向右移动; (2) 彩灯两亮两灭,从左向右移动; (3) 四亮四灭,从左向右移动; (4) 从1~8从左到右逐次点亮,然后逐次熄灭; (5) 四种花样自动变换。

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。优易LED全彩灯光控制系统由Color Edit编辑软件、主控器、分控器和LED光源组成,广泛应用于城市景观、风景名胜、道路桥梁、建筑轮廓、娱乐场所、户外广告、室内装饰等美化、亮化工程。 四花样自动切换的彩灯控制器,其电路简单、取材容易,而且被广泛地应用与现实生活当中。例如用于店面装饰可以增加其美观,吸引更多顾客。 在经过了几天紧张的电路焊接和调试,期间还进行了部分方案的修改和改进,现已实现了课程设计的主要任务和具体要求。 关键字:LED彩灯硬件电路

基于Multisim10的四路彩灯控制系统设计与仿真

《国外电子元器件》2008年第 9期 消费电子 基于 Multisim10的四路彩灯控制系统设计与仿真 连晋平 1,黄军仓 2 (1.肇庆学院,广东肇庆 526061; 2.西安财经学院,陕西西安 710061) 摘要 : Multisim10是目前各种电子电路辅助分析与设计软件中最优秀的软件之一 ,该软件具有模拟和数字电路的设 计、分析、仿真功能。提出了一种基于 Multisim10的四路彩灯控制系统设计与仿真方法,并在实际中得到了测试和应用。 关键词:电子电路;控制系统/辅助分析;辅助设计;四路彩灯 中图分类号 : TP391.72, TM923文献标识码:A文章编号:1006-6977(2008)09-0043-02 Design and simulation on control system of four path lights based on Multisim10

LIAN Jin-ping1, HUANG Jun-cang2 (1.Zhaoqing University,Zhaoqing 526061, China; 2.Xi’an Finance & Economics College, Xi’an 710061,China) Abstract:Multisim10 is one of the most excellent software about analysis and design on electronic circuit.It is used to de- sign,analyse and simulate digital circuit and

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

四路彩灯设计实验报告

电子工艺与数字逻辑课程设计报告 班级: 姓名: 学号: 指导教师: 撰写日期:2013.06.09 肇庆学院 计算机学院软件学院

目录 第一章课程设计内容与要求分析 ................................................................................................... - 3 - 1.1任务与要求 (3) 1.2设计要求 (3) 1.3主要设计条件 (3) 第二章设计总思路........................................................................................................................... - 4 - 2.1基本原理 (4) 2.2框图 (4) 第三章单元电路设计......................................................................................................................... - 5 - 3.1时钟脉冲产生电路 (5) 3.1.1....................................................................................................................................... - 5 -3.1.2具体实现...................................................................................................................... - 5 -3.2循环控制电路 (6) 3.2.1....................................................................................................................................... - 6 -3.2.2....................................................................................................................................... - 6 -3.3彩灯花样输出电路.. (6) 3.3.1运用到74LS194功能表.............................................................................................. - 6 -3.3.2通过12进制计数器的输出端的C、D信号控制移位寄存器的S0和S1及其CLR'端真值表..................................................................................................................................... - 7 - 第四章总电路设计......................................................................................................................... - 8 - 第五章实验、调试及测试结果分析 ............................................................................................... - 8 - 5.1结果的调试及分析 (8) 5.2调试中出现的故障、原因及排除方法 (9) 5.2.1彩灯只有一种花样变化,没有其它的花样:.......................................................... - 9 -5.2.2彩灯无规律变化:...................................................................................................... - 9 -5.2.3彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:..................................................................................................................................................... - 9 -第六章课程设计总结..................................................................................................................... - 10 - 参考文献........................................................................................................................................... - 10 - 附录................................................................................................................................................... - 10 -

相关文档
最新文档