数码管扫描显示控制器设计与实现——数字电路实验报告

北京邮电大学

实验报告

实验名称:数码管扫描显示控制器设计与实现学院:信息与通信工程学院

班级:

姓名:

学号:

日期:2010年5月

索引

一.实验目的 (4)

二.实验所用仪器及元器件 (4)

三.实验任务要求 (4)

四.实验设计思路及过程 (5)

1.实验原理 (5)

2.设计思路 (5)

3.VHDL代码 (6)

A.实验任务1 (6)

B.实验任务2-I (9)

C.实验任务2-II (12)

五.仿真波形及分析 (13)

1.仿真波形 (13)

①实验任务1 (13)

②实验任务2-I (14)

3.波形分析 (19)

①实验任务1 (19)

②实验任务2-I (19)

六.故障及问题分析 (20)

1.频率设置问题 (20)

2.触发问题 (20)

3.逻辑实现问题 (20)

七.本实验总结与结论 (21)

八.学期总结 (21)

九.参考文献 (21)

一.实验目的

1.掌握VHDL语言的语法规范,掌握时序电路描述方法

2.掌握多个数码管动态扫描显示的原理及设计方法

二.实验所用仪器及元器件

1.计算机

2.直流稳压电源

3.数字系统与逻辑设计实验开发板

三.实验任务要求

1.用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0,1,2,

3,4,5这六个不同的数字图形到六个数码管上,仿真下载验证其功能。

2.用VHDL语言设计并实现六个数码管滚动显示电路。(选作)

I.循环滚动,始终点亮6个数码管,左出右进。状态为:012345-123450-

234501-345012-450123-501234-012345

II.向左滚动,用全灭的数码管充右边,直至全部变灭,然后再依次从右边一个一个地点亮。状态为:012345-12345X-2345XX-345XXX-45XXXX-

5XXXXX-XXXXXX-XXXXX0-XXXX01-XXX012-XX0123-X01234-012345,

其中’X’表示数码管不显示。

四.实验设计思路及过程

1.实验原理

为使得输入控制电路简单且易于实现,采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代

码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。

各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。

虽然这些字符是在不同时刻出现的,而且同一时刻,只有一位显示,其它各位熄灭,但由于数码管具有余辉特性和人眼有视觉暂留现象,只要每位数码管显示间隔足够短,给人眼的视觉印象就会是连续稳定地显示。

总之,多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信号分时控制各个数码管的公共端,循环一次点亮多个数码管,并利用人眼的视觉暂留现象,只要扫描的频率大于50Hz,将看不到闪烁现象。6个数码管则需要50*6=300Hz以上才能看到持续稳定点亮的现象。

2.设计思路

设计时序电路,输入时钟经过一个分频器,产生2kHz的扫描信号作为时钟,驱动计数器工作。选用模值为6的计数器,通过一个3线至6线译码器,产生段码,依次控制6个LED的亮灭,使得某一时刻有且仅有一个LED点亮,同时产生对应的,将点亮的LED数码管赋值显示为相应的数码予以显示。由于扫描频率较高,6位LED数码管序列将显示持续稳定的0至5的数码。

3.VHDL代码

A.实验任务1

①实现代码

②代码说明

通过分频器输入产生选通脉冲,控制0至5号LED数码管依次亮灭,同时使用数码显示信号使得数码管显示相应数码。实现时通过连接引入分频信号,通过数据选择器选择数码管。计数器信号触发数据选择器,赋值给位码触发数码管显示数码。最终实现动态扫描显示数字序列。

B.实验任务2-I

①实现代码

②代码说明

代码主体和实验任务1中一致,基本思想也一致。为实现移位,关键改动为新增一个计数器,技术周期远远大于扫描周期,这样,在一个大的计数周期内,对于要显示的6位数码进行动态扫描(和实验任务1中相同),显示出6种移位状态中的一种;在下一个大的周期内,利用FLAG标志,并使用求余运算将显示位的数码移位,比如,大的计数周期为0时,FLAG为0,显示“012345”六位数码,大的周期为1时,FALG为1,此时各位求余(即FLAG_A求余)对应的数码为123450,显示的数码也就为“123450”。以此类推,实现循环移位。

C.实验任务2-II

①实现代码

②代码说明

此处为关键部分代码,其余代码同实验任务2-I中基本相同。实现基本思路为:先左移位,方法同实验任务2-I,进行加运算,稍有不同为左移出的数码不从右移入,因而没有取余运算,大于6的数码状态为灭灯,实现了左移且右端数码依次熄灭。待全部灯熄灭(此时FLAG已经加到了7)后数码依次从右移入,此时进行减运算(加减运算由FLAG_SC控制),右端数码最先达到0,显示数码“0”,然后是右端第二位达到0,显示数码“0”,右端第一位为1,显示数码“1”,其余灯灭,以此类推,实现了右端逐位移入数码的功能。

五.仿真波形及分析

1.仿真波形

①实验任务1

②实验任务2-I

3.波形分析

①实验任务1

由波形可见,随着时钟模六计数的不断重复,0至5号LED数码管依次打开,其余管熄灭,同时,数码管显示数字从0至5依次出现,两者保持同步,即n号管亮时显示的数码为n。这样每计数6次循环依次,可知仿真结果正确。当扫描速度很快时,人眼将能看到持续稳定的0至5号数码显示。

在实验室实际测试时,下载到电路板测试成功,完成实验任务。

②实验任务2-I

为了使仿真结果便于打印,这里修改了COUNT值为6,也即在一个大的计数周期内,只扫描一遍(实际实现时需要动态扫描多次,如同实验任务1,产生稳定显示,只需把COUNT值加大即可)。由波形可见,随着大计数周期的增加,依次产生012345-123450-234501-345012-450123-

501234-012345 ……,这样便实现了循环移位。实验室实测时,加大

COUNT值为5000,观察到稳定持续的周期循环移位的数码显示,完成实验任务。

六.故障及问题分析

1.频率设置问题

当频率设置过高时,计数周期将大大增加,每个周期内的扫描次数也增加,但考虑到人眼的分辨能力,频率只需大于300Hz即可,过大将增大系统开销,故采用2kHz分频器。

2.触发问题

初始编写代码时,误将COUNT计数器放入p3进程中,导致触发逻辑错误,大周期计数不工作,显示数码不能移位。后将模6和模5000两个计数器同时放入p2进程,统一使用一个时钟边沿触发,这样符合了同步时序电路设计的基本思想,触发正确,显示数码才正常移位。

3.逻辑实现问题

实验任务2-II中,为节省硬件开销,摈弃使用过多CASE语句产生大量数据选择器的穷举法,采用一个加法器和一个减法器代替,小周期计数器控制每位数码的显示,大周期计数器控制数码的移位,加法器实现左移出,减法器实现右移入,综合起来实现实验任务要求。逻辑分析包括硬件分析均无问题,但实际下载到电路板上实现时,部分移位出现乱码。在实验室规定的时间内没能调试成功,真是遗憾。分析问题可能出在移位时间的选择上,需要再从仿真波形着手,仔细分析逻辑中的小BUG。

数码管扫描显示控制器设计与实现——数字电路实验报告

北京邮电大学 实验报告 实验名称:数码管扫描显示控制器设计与实现学院:信息与通信工程学院 班级: 姓名:

学号: 日期:2010年5月 索引 一.实验目的 (4) 二.实验所用仪器及元器件 (4) 三.实验任务要求 (4) 四.实验设计思路及过程 (5) 1.实验原理 (5) 2.设计思路 (5) 3.VHDL代码 (6) A.实验任务1 (6) B.实验任务2-I (9) C.实验任务2-II (12) 五.仿真波形及分析 (13) 1.仿真波形 (13) ①实验任务1 (13) ②实验任务2-I (14) 3.波形分析 (19) ①实验任务1 (19) ②实验任务2-I (19) 六.故障及问题分析 (20) 1.频率设置问题 (20) 2.触发问题 (20) 3.逻辑实现问题 (20)

七.本实验总结与结论 (21) 八.学期总结 (21) 九.参考文献 (21)

一.实验目的 1.掌握VHDL语言的语法规范,掌握时序电路描述方法 2.掌握多个数码管动态扫描显示的原理及设计方法 二.实验所用仪器及元器件 1.计算机 2.直流稳压电源 3.数字系统与逻辑设计实验开发板 三.实验任务要求 1.用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0,1,2, 3,4,5这六个不同的数字图形到六个数码管上,仿真下载验证其功能。 2.用VHDL语言设计并实现六个数码管滚动显示电路。(选作) I.循环滚动,始终点亮6个数码管,左出右进。状态为:012345-123450- 234501-345012-450123-501234-012345 II.向左滚动,用全灭的数码管充右边,直至全部变灭,然后再依次从右边一个一个地点亮。状态为:012345-12345X-2345XX-345XXX-45XXXX- 5XXXXX-XXXXXX-XXXXX0-XXXX01-XXX012-XX0123-X01234-012345, 其中’X’表示数码管不显示。

数字电路与逻辑设计实验报告——数码管扫描显示控制器的设计与实现

数码管扫描显示控制器的设计与实现 一、六个数码管串行扫描电路 1.实验任务要求: ⑴掌握VHDL语言的语法规范,掌握时序电路描述方法 ⑵掌握多个数码管动态扫描显示的原理及设计方法 2.设计思路和过程 用VHDL语言设计并实现六个数码管串行扫描电路,要求同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上,仿真并下载验证其功能: 使用模6计数器产生一个M=6的信号,控制“数码管选通控制信号”cat的输出,低电平点亮管,使“0至5号”6个数码管依次点亮;同时控制数字的输出,依次输出0至5。由于使用了同一个模6计数器,使得cat的输出与数字的输出是同步的,第k号数码管亮时输出k.当时钟频率足够大时(6个数码管需要300Hz以上的频率),数码管能稳定显示,在视觉上表现为同时显示0、1、2、3、4、5这6个不同的数字图形到6个数码管上 3.V HDL 程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY shiyanshi1 IS PORT( clk,clear:IN STD_LOGIC; cat:OUT STD_LOGIC_VECTOR(5 downto 0); b:OUT STD_LOGIC_VECTOR(6 downto 0) ); end shiyanshi1; ARCHITECTURE shumaguan OF shiyanshi1 IS SIGNAL q_temp: STD_LOGIC_VECTOR(2 downto 0); BEGIN PROCESS(clk) BEGIN IF(clk'event and clk='1') THEN IF clear='0' THEN q_temp<="000"; ELSIF q_temp="101" THEN q_temp<="000"; ELSE q_temp<=q_temp+1;

数码管显示控制器实验报告

课程设计实验报告 设计成员:****************专业年级:**************** 实验日期:**************** 指导老师:****************

一、实验目的; 设计简易数码管显示控制器 二、实验要求; 1.数码管显示器从自然数列开始,依次显示自然数列,奇数数列,偶数数列,音乐符号序列,然后再从自然数列开始显示的循环显示的功能。 2.数码管显示器具有很好的周期性与自动复位性。 3 .设计中由控制端的输出信号自动控制各显示端自动循环显示,数码管显示器可应用于由八段LED数码管作为显示的灯饰中。 三、实验器材; 555定时器、74LS160、74LS48、74LS02、74LS08、74LS86、滑动变阻器(5.6kΩ)、8段共阴数码管、电平开关、电阻(2kΩ、510Ω)、电容(10μF、0.3μF)。 四、设计方案; 该数码管显示器要实现循环显示四组不同的数列,即可以考虑设计四个显示端,每个显示端显示一组数列,让它们分别依次显示一次即实现了一个周期,再设计一个控制端,输出周期性的控制信号,并且每个数列显示端的周期是输出控制信号周期的四分之一,这样就可以让控制模块与显示模块实现周期的同步,即可解决循环显示的问题。 第一组数为自然数列,即可用十进制计数器(74ls160芯片)与七段译码器(74ls48芯片)和七段共阴数码管组成该数列的显示端,

在分析其它数列可发现每列中的数均为自然数,则可以考虑以自然数列显示端为基础,再进行改进,设计其它几个显示端。 由于计数器需要输入时钟信号,则系统中可以用NE555及相关元件组成一个多谐振荡器,作为信号发生模块,即时基电路。 而控制模块与显示端模块均具有周期性,而后者的周期为四个十进制计数器的计数周期,要是两个模块实现周期相同,则控制端的周期性应与十进制计数器的周期有关,即该模块可以以十进制计数器为基础进行设计。 五、电路设计; (1)信号发生模块设计 NE555芯片是一种模拟—数字混合电路芯片,它是由与非门和两个电压比较器及其他元件组成集成块,经常用来构成定时电路或矩形波、方波产生电路,本设计使用它构成多谐振荡器,该振荡器能产生稳定的时钟信号。多谐振荡器原理图如下: 图1 多谐振荡器原理图

数电实验报告:数码管显示控制电路设计

数字电子技术实验报告 实验五:数码管显示控制电路设计 一、设计任务与要求: 能自动循环显示数字0、1、2、3、4、1、3、0、2、4。 二、实验设备: 1、数字电路实验箱; 2、函数信号发生器; 3、8421译码器; 4、74LS00、74LS10、74LS90。 三、实验原理图和实验结果: 1、逻辑电路设计及实验原理推导: 将0、1、2、3、4、1、3、0、2、4用8421码表示出来,如下表: 表一用8421码表示 设想用5421码来实现8421码表示的0、1、2、3、4、1、3、0、2、4,故将0、1、2、3、4、5、6、7、8、9用5421码表示出来以与上表做对比: 表二用5421码表示:

观察表一,首先可得到最高位全为0,故译码器的“8”直接接低电平即可;对比表一和表二得,“4”位上的数字两表表示的数字是一样的,故“4”直接与5421码的“4”输出相连即可,即译码器的“4”连74LS90的“Q 3”端;表一的“2”位上的数字前五行与表二的“2”位上的数字前五行显示的一样,此时表二的“5”位上的数字均为0,表一的“2”位上的数字后五行与表二的“1”位上的数字后五行一样,此时表二上的“5”位上的数字均为1,故译码器的“2”要接的是实现函数表达式为1020Q Q Q Q +的电路;最后一位上没有明显的规律,可用卡诺图求得逻辑表达式,也即译码器的“1”要连接的是实现函数表达式为230130Q Q Q Q Q Q +的电路。至此,实验原理图即可画出了。 2、 实验原理图:

3、实验结果: 编码器上依次显示0、1、2、3、4、1、3、0、2、4。实验结果图如下:

单片机实验报告二-数码管显示实验

单片机实验报告二-数码管显示实验 摘要: 本实验使用单片机控制数码管的显示,在实验过程中通过学习单片机的GPIO口的编程,调试程序、调节电路来达到正确的显示效果。最终按照要求实现了单片机控制数码管的计 数器。 关键词: 单片机、数码管、GPIO口、计数器 一、实验介绍 数码管是一种介于机械仪表和液晶显示器之间的电子显示器件,广泛应用于计时器、 计数器、仪表等电子产品中。 本实验旨在通过单片机控制数码管的显示来加深对GPIO口的使用和调试程序的理解,同时了解数码管的原理。本实验主要分为两部分:数码管显示基础实验和数码管控制开关 实验。通过这两部分的实验可以了解数码管的工作原理和单片机的基本控制方式。 二、实验原理 2.1 数码管的基本原理 数码管显示器将数字显示为一组符号,例如“0”到“9”。表示不同数字的符号被编 码成一个数字码。七段数码管用一个七段数码字母来表示数字,如下表所示: | 数字 | a | b | c | d | e | f | g | | ---- | - | - | - | - | - | - | - | | 0 | 1 | 1 | 1 | 1 | 1 | 1 | 0 | | 1 | 0 | 1 | 1 | 0 | 0 | 0 | 0 | | 2 | 1 | 1 | 0 | 1 | 1 | 0 | 1 | | 3 | 1 | 1 | 1 | 1 | 0 | 0 | 1 | | 4 | 0 | 1 | 1 | 0 | 0 | 1 | 1 | | 5 | 1 | 0 | 1 | 1 | 0 | 1 | 1 | | 6 | 0 | 0 | 1 | 1 | 1 | 1 | 1 |

bcd译码的多位数码管扫描显示实验报告

bcd译码的多位数码管扫描显示实验报告 实验目的: 本实验旨在通过使用bcd译码器和多位数码管,实现对数字信号的转换和显示,同时掌握多位数码管的扫描显示原理。 实验原理: 1. bcd译码器 BCD译码器是一种数字电路,它将二进制代码转换为十进制代码。在本实验中,我们使用的是74LS47型BCD译码器。该译码器将4位二进制代码转换为对应的7段LED数字显示。 2. 多位数码管 多位数码管是一种用于数字显示的设备,它由多个单独的7段LED数字显示组成,并通过共阳或共阴极方式进行连接。在本实验中,我们使用的是共阳极多位数码管。 3. 扫描显示原理

扫描显示原理是指,在多个数码管中依次选取一个进行亮灯显示,并以较高的频率进行切换,从而形成连续不断、流畅自然、稳定可靠的视觉效果。在本实验中,我们使用了单片机控制扫描方式进行数码管的显示。 实验材料: 1. 74LS47型BCD译码器 1个 2. 共阳极4位数码管 1个 3. 单片机STC89C52RC 1个 4. 杜邦线、电阻等 实验步骤: 1. 搭建电路 将74LS47型BCD译码器和共阳极4位数码管按照电路图连接好,然后将单片机与BCD译码器和数码管连接,具体如下图所示:

![image-1.png](attachment:image-1.png) 2. 编写程序 使用Keil C51软件编写程序,实现对单片机的控制,具体代码如下: ``` #include //头文件 #define uchar unsigned char //定义uchar类型 #define uint unsigned int //定义uint类型 sbit LSA=P2^2; //定义LSA引脚 sbit LSB=P2^3; //定义LSB引脚 sbit LSC=P2^4; //定义LSC引脚 uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //数码管显示表格 void delay(uint i) //延时程序 { while(i--); } void main() { uchar i,j,k=0;

(完整word版)EDA实验报告-实验2-数码管扫描显示电路

暨南大学本科实验报告专用纸 课程名称 EDA 实验 成绩评定 实验项目名称 数码管扫描显示电路 指导教师 郭江陵 实验项目编号 02 实验项目类型 验证 实验地点 B305 学院 电气信息学院 系 专业 物联网工程 组号: A6 一、实验前准备 本实验例子使用独立扩展下载板EP1K10_30_50_100QC208(芯片为EP1K100QC208)。EDAPRO/240H 实验仪主板的VCCINT 跳线器右跳设定为3。3V ; EDAPRO/240H 实验仪主板的VCCIO 跳线器组中“VCCIO3.3V"应短接,其余VCCIO 均断开;独立扩展下载板“EP1K10_30_50_100QC208”的VCCINT 跳线器组设定为2。5V ;独立扩展下载板“EP1K10_30_50_100QC208”的VCCIO 跳线器组设定为3。3V 。请参考前面第二章中关于“电源模块"的说明。 二、实验目的 1、了解时序电路设计。 2、制作一个数码管显示的7段译码电路,以备以后调用. 三、实验原理 在电子电路显示部分里,发光二极管(LED)、七段显示数码管、液晶显示(LCD)均是十分常见的人机接口电路.通常点亮一个LED 所需的电流在5~20mA 之间,电流愈大,LED 的亮度也高,相对的使用寿命也愈短。若以10mA 导通 电流来估算一个接5V 的串接电阻值计算应为: (5-1.6)/10mA ≈0.34K Ω。 七段显示数码管分为共阳、共阴二种极性。它们等效成八个LED 相连电路。

共阴极七段显示器的LED位置定义和等效电路 共阴极七段显示码十六进制转换表 四、实验内容 用拨码开关产生8421BCD码,CPLD器件产生译码及扫描电路,把BCD码显示在LED数码管上,通过改变扫描频率观察数码管刷新效果。 五、实验要求 学习在MAX+PLUS II中使用VHDL设计功能模块,并将所生成的功能模块转换成MAX+PLUS II原理图的符号库,以便在使用原理图时调用该库。 六、设计框图 ◆动态共阴数码管扫描设计框图(程序为1-D)

数码管实验报告

数码管实验报告 数码管实验报告 引言: 数码管是一种常见的电子显示器件,广泛应用于各种电子设备中。本实验旨在 通过对数码管的实际操作,了解其工作原理以及应用场景。 一、实验目的 通过本次实验,我们的目标是掌握数码管的基本原理和使用方法,进一步了解 数字电路的工作原理,并能够通过搭建简单的电路实现数字显示。 二、实验器材和原理 实验所需器材包括:数码管、电阻、开关、电源等。数码管是一种由发光二极 管组成的显示器件,它可以显示数字0-9。电阻用于限流,开关用于控制电路 的通断,电源为实验提供所需的电能。 数码管的工作原理是通过控制发光二极管的通断状态来实现数字的显示。数码 管一般由7个发光二极管组成,其中6个用于显示数字的每个段,而第7个用 于显示小数点。通过控制不同的发光二极管通断,可以显示不同的数字或符号。 三、实验步骤 1. 搭建基本电路:将数码管与电阻、开关、电源连接起来,保证电路的通断正常。 2. 确定数码管的工作电压:通过调节电源电压,观察数码管的亮度变化,找到 最适合的工作电压。 3. 实现数字的显示:通过对不同的发光二极管通断的控制,依次显示数字0-9。 4. 实现数字的循环显示:通过控制开关的状态,使得数字可以按照一定的顺序

不断循环显示。 5. 实现小数点的显示:通过控制第7个发光二极管的通断状态,实现小数点的显示。 四、实验结果和分析 通过实验,我们成功地搭建了数码管电路,并实现了数字的显示和循环显示。在调节电源电压的过程中,我们发现数码管的亮度会随着电压的增加而增加,但当电压过高时,数码管可能会受损,因此需要找到一个合适的工作电压。在实现数字的显示和循环显示的过程中,我们发现通过对不同的发光二极管通断的控制,可以显示不同的数字。而通过控制开关的状态,可以实现数字的循环显示,使得显示的数字可以按照一定的顺序不断变化。 通过实验,我们还成功地实现了小数点的显示。通过控制第7个发光二极管的通断状态,我们可以在数字显示的基础上添加小数点,实现更加丰富的显示效果。 五、实验总结 通过本次实验,我们深入了解了数码管的工作原理和使用方法。数码管作为一种常见的电子显示器件,广泛应用于各种电子设备中。通过对数码管的实际操作,我们不仅加深了对数字电路的理解,还提高了实际动手能力。 在实验中,我们还学会了如何搭建简单的电路,并通过调节电源电压、控制发光二极管通断状态等操作,实现了数字的显示和循环显示。这些实际操作不仅加深了我们对数字电路的理解,还培养了我们的动手能力和实际操作能力。总的来说,本次实验对我们的学习和成长都有着积极的影响。通过实际操作,我们不仅加深了对数码管的理解,还提高了动手实践的能力。相信在今后的学

数码管的显示的实验报告

数码管的显示的实验报告 数码管的显示的实验报告 引言: 数码管是一种常见的数字显示装置,广泛应用于各种电子设备中。本实验旨在通过实际操作,了解数码管的原理和工作方式,并通过一系列实验验证其显示效果和功能。 实验一:数码管的基本原理 数码管是由多个发光二极管(LED)组成的,每个发光二极管代表一个数字或符号。通过对不同的发光二极管进行点亮或熄灭,可以显示出不同的数字或符号。本实验使用的是共阳数码管,即共阳极连接在一起,而阴极分别连接到控制芯片的输出引脚。 实验二:数码管的驱动电路 为了控制数码管的显示,需要使用驱动电路。常见的驱动电路有共阴极驱动和共阳极驱动两种。本实验使用的是共阳极驱动电路。驱动电路由控制芯片、电阻和电容组成。控制芯片通过控制输出引脚的高低电平来控制数码管的点亮和熄灭。 实验三:数码管的显示效果 通过控制芯片的输出引脚,可以实现数码管的显示效果。本实验使用的是四位数码管,可以显示0-9的数字。通过改变控制芯片输出引脚的电平,可以控制数码管显示不同的数字。实验中通过编写程序,使数码管显示从0到9的数字循环显示,并通过按键控制数字的增加和减少。 实验四:数码管的多位显示

除了显示单个数字外,数码管还可以实现多位显示。通过控制不同位数的数码管,可以显示更多的数字或符号。本实验使用的是四位数码管,可以同时显示四个数字。通过编写程序,可以实现四位数码管的多位显示,例如显示当前时间、温度等信息。 实验五:数码管的亮度调节 数码管的亮度可以通过改变驱动电路中的电阻值来实现。本实验通过改变电阻值,调节数码管的亮度。实验中通过编写程序,通过按键控制数码管的亮度增加和减少,从而实现亮度的调节。 结论: 通过本次实验,我们深入了解了数码管的原理和工作方式。数码管可以通过驱动电路的控制,实现数字和符号的显示。同时,数码管还可以实现多位显示和亮度调节。数码管作为一种常见的数字显示装置,具有广泛的应用前景,可以应用于各种电子设备中。通过进一步的研究和实践,我们可以更好地利用数码管的功能,满足不同应用场景的需求。

单片机数码管实验报告

单片机数码管实验报告 单片机数码管实验报告 引言: 单片机作为一种重要的嵌入式系统,被广泛应用于各个领域。在本次实验中,我们将探索单片机与数码管的结合,通过编程控制数码管的显示,实现不同的功能。本文将详细介绍实验的背景、目的、方法和结果,并对实验过程中遇到的问题进行讨论和总结。 一、实验背景 数码管是一种常见的输出设备,用于显示数字和字母等信息。而单片机则是一种集成了微处理器、存储器和输入输出接口等功能的芯片,具有高度集成、灵活性强的特点。将单片机与数码管结合起来,可以实现对数字的显示和控制,为实际应用提供了很大的便利。 二、实验目的 本次实验的目的是通过编程控制单片机,实现对数码管的显示和控制。具体包括以下几个方面: 1. 学习单片机的基本原理和编程方法; 2. 掌握数码管的工作原理和接口电路; 3. 理解数码管的编码方式和显示原理; 4. 实现基本的数码管显示功能,如显示数字、字母、符号等; 5. 探索数码管的扩展应用,如时钟、计时器等。 三、实验方法 1. 实验器材准备:

本次实验所需的器材包括单片机开发板、数码管、连接线等。 2. 实验步骤: (1)搭建实验电路:将数码管与单片机开发板连接,并根据实验要求进行接线。(2)编写程序:使用C语言编写程序,通过单片机的GPIO口控制数码管的显示。 (3)下载程序:将编写好的程序下载到单片机开发板上。 (4)实验验证:通过观察数码管的显示情况,验证程序的正确性。 四、实验结果 经过实验验证,我们成功实现了对数码管的显示和控制。通过编写不同的程序,我们可以实现以下几种功能: 1. 显示数字:通过控制数码管的不同段点亮,可以显示0-9的数字。 2. 显示字母:通过控制数码管的不同段点亮,可以显示A-Z的字母。 3. 显示符号:通过控制数码管的不同段点亮,可以显示一些常见的符号,如"+"、"-"、"*"等。 4. 显示动画:通过快速切换数码管的显示内容,可以实现简单的动画效果,如 闪烁、滚动等。 5. 扩展应用:通过编写复杂的程序,结合其他传感器和模块,可以实现更多的 应用,如时钟、计时器、温度显示等。 五、实验问题与讨论 在实验过程中,我们遇到了一些问题,并进行了相应的讨论和解决: 1. 数码管显示不正常:可能是接线错误或程序逻辑错误导致的,我们检查了接 线并对程序进行了调试。

数码显示电路实验报告

数码显示电路实验报告 实验名称:数码显示电路 实验目的:学习和掌握数码显示电路的基本原理和实现方法。 实验原理:数码显示电路是将数字信号转换为数字显示的电路。主要组成部分包括数码管、编码器、驱动电路等。 数码管是一种数字显示器件,可以将数字信号转换为数字显示。常用的数码管有共阳数码管和共阴数码管两种。共阳数码管是在阳极加电的情况下,通过在不同的阴极上加电,实现所需数字的显示;共阴数码管则是在阴极加电的情况下,通过在不同的阳极上加电,实现数字的显示。 编码器用来将数字信号转换为数码管所需的控制信号。常用的编码器有BCD编码器和二进制编码器。BCD编码器将数字信号转换为BCD码,即4位二进制码,以控制数码管的阴阳极的开关;二进制编码器则是将数字信号转换为二进制码,以控制数码管不同的选通。 驱动电路用来提供数码管所需的电流,以实现数字的显示。常用的驱动电路有共阴极驱动和共阳极驱动两种。共阴极驱动是将阴极接地,通过在不同的阳极上加电,实现数字的显示;共阳极驱动则是将阳极接地,通过在不同的阴极上加电,实现数字的显示。

实验器材:数字万用表、信号发生器、BCD编码器、共阳数码管/共阴数码管、电阻、电容、运放等。 实验步骤: 1. 根据实验所需,选择合适的数码管、编码器和驱动电路,组成数码显示电路。 2. 连接电路,接通电源。 3. 发送数字信号,观察数码管的显示效果。 4. 尝试不同的数字信号,观察数码管的不同显示效果。 实验结果:通过实验,我们成功搭建了数码显示电路,并实现了数字信号的数字显示。 总结与分析:数码显示电路是数字电路中的基础电路之一,也是实际工程中常用的电路之一。通过本次实验,我们深入了解了数码显示电路的基本原理和实现方法,并成功实现数字信号的数字显示。在实际工程中,数码显示电路经常用来显示各种测量值、计数值等,具有广泛的应用前景。

数码管显示实验报告

数码管显示实验报告 数码管显示实验报告 引言: 数码管是一种常见的数字显示设备,广泛应用于各种计数、计时和显示系统中。本实验旨在通过实际操作,了解数码管的工作原理和使用方法,并探索其在电 子领域中的应用。 实验原理: 数码管是由多个发光二极管组成的,每个发光二极管代表一个数字或字母。通 过控制发光二极管的亮灭来显示不同的字符。数码管通常分为共阳极和共阴极 两种类型,其差别在于亮灭控制信号的电平极性。 实验步骤: 1. 准备实验材料:数码管、电路连接线、电阻、开关、电源等。 2. 按照电路图连接电路:将数码管的引脚与其他元件连接,确保电路正确无误。 3. 接通电源,观察数码管的显示效果:根据电路连接的不同,数码管将显示不 同的数字或字母。 4. 通过改变电路中的元件参数,如电阻的阻值、开关的状态等,观察数码管的 显示变化:可以发现数码管的亮度、显示内容等会随之改变。 实验结果与分析: 经过实验,我们发现数码管的显示效果与电路连接方式、元件参数等因素密切 相关。当数码管为共阳极时,需要给对应的引脚施加高电平信号才能使其亮起;而当数码管为共阴极时,则需要给对应的引脚施加低电平信号才能使其亮起。 此外,数码管的亮度也与电阻的阻值有关。通过改变电阻的阻值,我们可以调

节数码管的亮度,使其适应不同的环境要求。 数码管还可以通过组合显示不同的字符。例如,通过同时点亮数码管的多个发 光二极管,我们可以显示出数字、字母、符号等。这为数码管的应用提供了更 多的可能性。 应用领域: 数码管广泛应用于各个领域,如计时器、计数器、温度显示器、电子钟等。在 计算机硬件中,数码管也常用于显示硬盘容量、CPU温度等信息。 结论: 通过本次实验,我们深入了解了数码管的工作原理和使用方法,并通过实际操 作探索了其在电子领域中的应用。数码管作为一种常见的数字显示设备,具有 简单、可靠、易于控制等优点,在现代电子技术中扮演着重要的角色。通过进 一步的研究和应用,我们可以更好地利用数码管的特性,推动电子技术的发展。

数码管显示控制器 eda 课程设计 报告电路图

燕山大学EDA课程设计报告书 题目:数码管显示控制器 姓名:*** 班级:电子信息工程三班 学号:************

一、设计题目及要求 题目:数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计过程及内容 本实验的总体电路由分频器,计数器,转换电路和数码管显示电路四模块组成。 模块一分频器 为了使实验结果更便于观察,我设计了分频器电路。选用实验箱上366Hz的时钟信号,用74161接成366进制计数器,把366Hz的时钟信号作为计数器的输入,使计数器的进位输出作为下一模块的时钟信号,通过这种模式从而起到分频的作用。分频器的电路图如上图所示: 其仿真波形为:

模块二计数器 根据题目要求,数码管显示的是一个包含30个数的循环,为使这些状态能够循环显示,我采用2片74161以置数的方式接成30进制,设计了30进制的计数器,并将第五位的输出Q0~Q4作为下一模块的输入。使计数器的每一个计数状态与对应显示的数字建立一种一一对应关系,从而实现数列的循环显示。 电路图如下图所示: 仿真波形为:

模块三地址转换电路 由于前一步骤中计数器的输出是五个端,而七段显示译码器只有四个输入端,因此我设计了这部分的地址转换电路。在这一模块中我将计数器的5个输出端转换成4个输出端。这一模块主要应用组合逻辑电路的知识,将0~29这三十个状态与显示的数字的码一一对应,并画出卡诺图,进行化简,找出对应关系,然后写出相应输出端的表达式,画出逻辑图即可得到地址转换电路。 电路图如下所示: 模块四数码管显示电路 将前一模块的四个地址输入端接到7448的输入端上,接好其它控制端,即组成了显示电路。 电路图如下 ;

数码管显示实验-实验报告

XXXX大学实验报告 2020 年 6 月 26日 学院__物信学院__专业_电子信息工程_学号_19520302__姓名_xxx_____ 课程名称数字电子技术基础实验名称数码管显示实验 指导教师同组者室温气压 一、实验目的 1.74LS48共阴极译码驱动器的功能测试; 利用74LS48共阴极译码器实现BCD码输入与数码管显示。 二、实验原理 74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我 就给大家介绍一下这个元件的一些参数与应用技术等资料。 7段显示译码器74LS48是输出高电平有效的译码器,74LS48除了有实现7段显示译码器基本功能的输入(DCBA) 和输出(Ya~Yg)端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功 能的消隐输入/动态灭零输出(BI/RBO)端。 74ls48引脚图及功能:图示说明

74ls48引脚图以及真值表 图6-4 74LS48的引脚排列 下图给出了7448的逻辑图,方框图和符号图。由符号图可以知道,4号管脚端具有输入和输出双重功能。作为输入(BI)低电平时,G21为0,所有字段输出置0,即实现消隐功能。作为输出(RBO),相当于LT,及CT0的与坟系,即LT=1,RBI=0,DCBA=0000时输出低电平,可实现动态灭零功能。3号(LT)端有效低电平时,V20=1,所有字段置1,实现灯测试功能。

74ls48引脚图及功能:引脚功能说明由7448真值表可获知7448所具有的逻辑功能:

(1)7段译码功能(LT=1,RBI=1) 在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。 (2)消隐功能(BI=0) 此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。 (3)灯测试功能(LT = 0) 此时BI/RBO端作为输出端,端输入低电平信号时,表1最后一行,与及DCBA输入无关,输出全为“1”,显示器7个字段都点亮。该功能用于7段显示器测试,判别是否有损坏的字段。 (4)动态灭零功能(LT=1,RBI=1) 此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1 倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。 三、实验设备与材料 安装有multisim14.0的电脑 三、实验步骤 1、运行计算机上的仿真软件Multisim14.0 2、点击文件进行新建或者直接在打开的当前页面进行新建实验电路,首先选择74LS47这块芯片,然后再选择一个合适的七段发光二极管(LED)显示器,按照正确的顺序与芯片连接起来,之后在选择一个字发生器将它也与芯片正确接好,最后就是选择VCC +5V的电源,把所有的线路都正确连接好,最后的连接图 74LS48驱动七段数码管连线图

stm32keil数码管实验报告

stm32keil数码管实验报告 实验目的 1、掌握stm32keil数码管动态扫描显示的原理和编程实现方法。 2、掌握软件延时程序的使用。 实验任务 利用stm32keil数码管动态显示,设计一个两位秒表,计时0-59,时间到了显示“FF”,使用软件延时实现。 实验原理 stm32keil数码管动态显示的连接方式是将所有stm32keil数码管的段码a、b、c、d 、e 、f、g、dp的同名端连在一起,另外为每个stm32keil数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制。 所谓动态扫描显示,即轮流向各位stm32keil数码管送出字形码和相应的位选,利用发光管的余辉和人眼视觉暂留作用,使人的感觉好像各位stm32keil数码管同时都在显示。具体过程是:当单片机输出字形码时,所有stm32keil数码管都接收到相同的字形码,但究竟是哪stm32keil数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以只要将需要显示的stm32keil数码管的位选通控制打开,该位就显示出字形,

没有选通的stm32keil数码管就不会亮。通过分时轮流控制各个stm32keil数码管的COM端,就使各个stm32keil数码管轮流受控显示,这就是动态驱动。在轮流显示过程中每位stm32keil数码管的点亮时间为2ms左右,由于人的视觉暂留现象及发光极管的余辉效应,尽管实际上各位stm32keil数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。 实现延时通常有两种方法:一种是硬件延时,这需要用到单片机的定时器,这种方法可以提高CPU的工作效率,也能做到精确控制时间,此方法将在实验四中再学习;另一种方法是软件延时,这种方法主要采用循环体进行。可以采用for循环以及for循环嵌套的方式达到粗略的长时间延时,利用Keil软件可以调试和观察for语句的延时时间。 总结:本次实验我很好的复习了有关C语言的相关语句知识点,合理的运用到了单片机的程序编码中去,但实验过程中,也出现了很多问题。比如在运行过程中,stm32keil数码管会乱码,检查后发现是扫描信号端口错误,将扫描信号端口顺序调换,重新运行则解决了乱码问题。共阴stm32keil数码管电路0到15的显示参数代码表要记清楚。也知道了keil 工程的建立步骤以及相关使用方法,在Proteus软件中按照要求画出单片机的要求电路,再利用Keil软件按需要实现的功能编写C语言程序,生成Hex文件,把这个文件导入keil软件进行仿真。

数码管实验报告

数码管实验报告 篇一:实验八数码管led实验报告苏州大学实验报告 院、系年级专业姓名学号课程名称成绩指导教师同组实验者实验日期实验名称:数码管led实验一.实验目的 理解8段数码管的基本原理,理解8段数码管的显示和编程方法,理解4连排共阴极8段数码管lg5641ah与mcu的接线图。二.实验内容 理解8段数码管原理,运行与理解各子程序,编制一个4连排8段数码管程序,mcu的排8段数码管显示mcu复位后的开始到现在的运行时间。由于只有四个数码管,所以只显示mcu运行到目前为止的分钟和秒,当计时达到一个小时,就重新从00:00开始计时。另外,也可以通过pc方的串口通信程序,指定计时的开始值。三.实验过程(一)原理图图8-2数码管外形dp a b c e f g dp 图8-1 数码管(二)接线图 图8-3 mcu与4连排8段数码管的连接第1页(三)基本原理8段数码管一般由8个发光二极管(llight-emitting diode,led)组成,每一个位段就是一个发光二极管。一个8段数码管分别由a、b、c、d、e、f、g位段,外加上一个小数点的位段h(或记为dp)组成。根据公共端所接电平的高低,可分为共阳极和共阴极两种。有时数码管不需要小数点,只有7个位段,称7段数码管。共阴极8段数码管的信号端高电平有效,只要在各个位段上加上相应的信号即可使相应的位段发光,比如:要使a段发光,则在发光。四.编程(一)流程图 图8-4 数码管led显示流程图(及其中断子程序)(二)所用寄存器名称及其各个位 程序中没有使用与led显示相关的控制和状态寄存器,仅仅使用了通用i/o口a口和b口。(三)主要代码段1第2页第3页2.c

led数码管显示控制实验报告

led数码管显示控制实验报告 篇一:单片机实验报告——LED数码管显示实验 《微机实验》报告 LED数码管显示实验 指导教师:专业班级: 姓名: 学号: 联系方式: 一、任务要求 实验目的:理解LED七段数码管的显示控制原理,掌握数码管与MCU的接口技术,能够 编写数码管显示驱动程序;熟悉接口程序调试方法。 实验内容:利用C8051F310单片机控制数码管显示器 基本要求: 利用末位数码管循环显示数字0-9,

显示切换频率为1Hz。 提高要求: 在4位数码管显示器上依次显示当天时期和时间,显示格式如下: yyyy (月份.日) (小时.分钟) 思考题: 数码管采用动态驱动方式时刷新频率应如何选择?为什么? 二、设计思路 C8051F310单片机片上晶振为,采用8分频后为,输入时钟信号采用48个机器周期。 0到9对应的断码为:FC H、60H、DAH、F2H、66H、B6H、BEH、E0H、FEH、F6H 基础部分:由于只需要用末位数码管显示,不需要改变位码,所以只需要采用LED的静态显示。采用查表的方法,通过循环结构,每次循环查找数据表下一地址,循环十次后重新开始循环。每次循环延

时1s,采用定时器0定时方式1。 提高部分: 四个数码管都要显示,所以采用LED的动态显示。由于数码管的位选由、控制,P0端口的其他引脚都没用到,所以对P0端口初始化赋00H,每次循环加40H、选中下一位,四次后十六进制溢出,P0端口变又为00H回到第一个数码管。 每位数码管显示一个段码后都延时1ms(否则数码管太亮,刺眼)采用定时器0定时方式1,依然采用查表法改变段码值。通过循环: DJNZ R5,BACK MOVR5,#250 DJNZ R4,BACK MOVR4,#8 来控制每种模式的切换时间,我采用2s切换一次(8*250*1ms=2s)。 切换模式,可以采用改变查表法的偏移量来实现,没切换一次模式,偏移量加04H,三次后回到初始偏移量,来实现三种模式的循环显示。 三、

4位数码管实验报告

竭诚为您提供优质文档/双击可除 4位数码管实验报告 篇一:数码管实验报告 篇一:实验八数码管led实验报告 苏州大学实验报告 院、系年级专业姓名学号课程名称成绩指导教师同组实验者实验日期 实验名称:数码管led实验 一.实验目的 理解8段数码管的基本原理,理解8段数码管的显示和编程方法,理解4连排共阴极8段数码管lg5641ah与mcu 的接线图。二.实验内容 理解8段数码管原理,运行与理解各子程序,编制一个4连排8段数码管程序,mcu的排8段数码管显示mcu复位后的开始到现在的运行时间。由于只有四个数码管,所以只显示mcu运行到目前为止的分钟和秒,当计时达到一个小时,

就重新从00:00开始计时。另外,也可以通过pc方的串口通信程序,指定计时的开始值。三.实验过程(一)原理图图8-2数码管外形 dp abc efgdp 图8-1数码管(二)接线图 图8-3mcu与4连排8段数码管的连接第1页 (三)基本原理 8段数码管一般由8个发光二极管 (llight-emittingdiode,led)组成,每一个位段就是一个发光二极管。一个8段数码管分别由a、b、c、d、e、f、g位段,外加上一个小数点的位段h(或记为dp)组成。根据公共端所接电平的高低,可分为共阳极和共阴极两种。有时数码管不需要小数点,只有7个位段,称7段数码管。共阴极8段数码管的信号端高电平有效,只要在各个位段上加上相应的信号即可使相应的位段发光,比如:要使a段发光,则在发光。 四.编程 (一)流程图 图8-4数码管led显示流程图(及其中断子程序) (二)所用寄存器名称及其各个位

程序中没有使用与led显示相关的控制和状态寄存器,仅仅使用了通用i/o口a口和b口。 (三)主要代码段 1第2页第3页 2. c 第4页 第5页 篇二:数码管实验报告 单片机实验报告 一、实验名称 数码管动态扫描显示01234567(实验五) 二、实验目的 (1)掌握数码管显示数字的原理。 (2)通过不同的编程实现灵活运用数码管。 三、实验原理 四、相关原理图 五、实验内容 数码管显示12345678 c程序: #include #include

数电课程设计报告-数码管显示控制器的设计实现分析

目录 引言1 1设计背景2 1.1设计任务3 1.2设计要求3 1.3指导思想4 2方案论证4 2.1方案说明4 2.2方案原理4 3电路的设计与分析5 3.1电路的总体设计5 3.2电路的原理框图5 3.3元电路的设计与分析6 3.3.1多谐振荡电路的设计与分析7 3.3.2计数电路的设计与分析8 3.3.3译码显示电路的设计与分析9 4.1脉冲产生电路的仿真10 4.2总电路的仿真11 5心得与体会12

引言 显示器件是电子设备中不可缺少的部分,从灯泡,阴极射线管(CRT,cathode-ray tube)显示器,到发光二级管(LED,light-emitting diode),液晶显示屏(LCD,Liquid CrystalDisplay),显示器件的发展伴随着电子技术的不断发展。目前,在小型便携式电子设备中,LED和LCD显示器件成为主要的显示器件,其中发光二级管和IJED数码管,主要用于状态指示和数字字符显示,LCD主要用于文字和图形显示。LED数码管是用发光二级管组成字符笔画或点阵,用于显示简单字符和图形。最常用的是七段LED数码管,它用发光二级管组成数字字符8的七段笔画,至少可以显示十六进制数字的十六个字符,再加上一个小数点显示,常用于在电子设备上显示数字字符串。其特点主要在于使用简单,价格低廉,显示亮度高,功耗小,器件可靠性很高。本设计即利用逻辑芯片来实现数码管的控制显示 1.设计背景 1.1设计任务 根据已知条件,完成对数码管显示控制器的设计、装配与调试。 1.2设计要求

(1)能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列), 1、3、5、7、9(奇数列),0、 2、4、6、8(偶数列),0、1、0、1、2、 3、 4、5、6、7(音乐符号序列);然后再从头循环; (2)打开电源自动复位,从自然数列开始显示。 1.3指导思想 本设计将采用几个基本的数字集成的74系列(74LS153,555)芯片来完成所需要的数字逻辑显示功能(在七段数码管上按规律显示特定的数字)。本设计具有逻辑清晰、设计巧妙的特点,能很好的符合课程设计的要求。 2.方案论证 2.1方案说明 该设计的关键是对74LS153的输入端的强制置数的处理,设计要求产生奇数,实际上就是将第一个74LS153的1C1强制置1;要求产生偶数,实际上就是把第一个74LS153的1C2强制置0;要求产生0-7的音乐符号,实际就是把第二个74LS153的2C3强制置0;也就是说产生十进制的的计数一直是不变的,它部的技术依然是0-9的计数,我们只是在外部改变了它的输出而已,因此我们这个方案采用一个最简易的方案:两个74LS160和两个74LS153,一个555作脉冲产生之用,一片74LS48译码,一个七段数码管作显示。 2.2方案原理 首先,用一个555构成多谐振荡器产生大约1HZ的脉冲,脉冲可以使74LS160正常工作循环产生0—9的十进制数作为74LS153的输入,用74LS160的低两位输出作为两个74LS153的地址输入控制其输出。74LS160每循环0—9一次就会产生进位输出为74LS160提供一个脉冲,使其计数一次,74LS160

相关文档
最新文档