EDA课程设计——电梯控制器

EDA课程设计——电梯控制器
EDA课程设计——电梯控制器

《电子设计自动化(EDA)技术》课程设计报告题目: 电梯控制系统设计

姓名:

院系:

专业:

学号:

指导教师:

完成时间: 年月日

目录

一、课程设计题目、内容与要求 (4)

1.1 设计内容 (4)

1.2 具体要求 (4)

二、系统设计 (4)

2.1 设计思路 (4)

2.2 系统原理(包含:框图等阐述)与设计说明等内容

三、系统实现 (5)

注:此部分阐述具体实现,包含主要逻辑单元、模块、源代码等内容

四、系统仿真 (12)

五、硬件验证(操作)说明 (15)

六、总结 (16)

七、参考书目 (17)

一、课程设计题目、内容与要求

1.1课程设计内容-电梯控制系统

采用EDA-VHDL语言建模电梯控制系统,实现电梯的功能需求。在实验操作平台MAXPLUS II 和实验箱(主芯片EPM7096LC68-7)上完成系统的仿真。

1.2电梯系统控制设计要求

(1).每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。

电梯当前所在的楼层位置用一位数码管显示,用一只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态;

(2).显示电梯当前所处位置和电梯上行下行及开门,关门状态;

(3).电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门3秒钟后;(4).电梯门自动关闭(开门指示灯灭)电梯继续运行;

(5).对电梯开门时间可以提前关门(按关门按钮);

(6).能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,每个请求信号保留至执行后撤除,如到达某层后,上下方均无请求,则电梯停在该层,中止运行。

二、系统设计

2.1设计思路

该设计采用方向优先控制方式方案,方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止;无,则继续前进。停下来后再启动时的步骤:考虑前方——上方或下方是否有请求:有,则继续前进;无,则停止;检测后方是否有请求,有请求则转向运行,无请求则维持停止状态。

2.2 系统原理(包含:框图等阐述)与设计说明等内容

电梯控制器系统由系统时钟,楼层请求,故障清除,关门中断,超载,提前关门,信号存储,状态存储,中央处理器,电梯升降停,门开关停,楼层显示,请求信号显示,超载故障报警部分组成。如图1和如图2所示

图2 电梯控制系统电路图

三、系统实现

(1)外部数据高速采集模块设计

有效的对外部信号采集、处理要求电梯控制器对外部请求信号的实时、准确采集准确、实时的捕捉楼层到达信号;有防止楼层到达信号、外部请求信号的误判。

(2)信号存储模块

电梯控制器的请求输入信号有18个(电梯外有6个上升请求和6个下降请求的用户输入端口,电梯内有6个请求用户输入端口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。

(3)基于FPGA的中央处理模块

中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。系统的状态流程图如图3所示:

-

图3 系统状态流程图

(4)源程序

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dianti is

port ( clk : in std_logic; --时钟信号(频率为2Hz)

full,deng,quick,clr : in std_logic;--超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,d5,d6 : in std_logic; --电梯内人的请求信号 g1,g2,g3,g4,g5,g6 : in std_logic; --到达楼层信号

door : out std_logic_vector(1 downto 0); --电梯门控制信号

led : out std_logic_vector(6 downto 0); --电梯所在楼层显示

led_c_u:out std_logic_vector(5 downto 0);--电梯外人上升请求信号显示

led_c_d:out std_logic_vector(5 downto 0); --电梯外人下降请求信号显示 led_d : out std_logic_vector(5 downto 0); --电梯内请求信号显示 wahaha : out std_logic; --看门狗报警信号

ud,alarm : out std_logic; --电梯运动方向显示,超载警告信号up,down : out std_logic ); --电机控制信号和电梯运动end dianti;

architecture behav of dianti is

signal d11,d22,d33,d44,d55,d66:std_logic; --电梯内人请求信号寄存信号signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic; --电梯外人上升请求信号寄存信号signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic; --电梯外人下降请求信号寄存信号signal q:integer range 0 to 1; --分频信号

signal q1:integer range 0 to 6; --关门延时计数器

signal q2:integer range 0 to 9; --看门狗计数器

signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0); --电梯内外请求信号寄存器signal opendoor:std_logic; --开门使能信号

signal updown:std_logic; --电梯运动方向信号寄存器

signal en_up,en_dw:std_logic; --预备上升、预备下降预操作使能信号

begin

process(clk)

begin

if clk'event and clk='1' then

if clr='1' then q1<=0;q2<=0;wahaha<='0'; --清除故障报警

elsif full='1' then alarm<='1'; q1<=0; --超载报警

if q1>=3 then door<="10";

else door<="00";

end if;

elsif q=1 then q<=0;alarm<='0';

if q2=3 then wahaha<='1'; --故障报警

else

if opendoor='1' then door<="10";q1<=0;q2<=0;up<='0';down<='0';--开门操作

elsif en_up='1' then --上升预操作

if deng='1' then door<="10";q1<=0;q2<=q2+1; --关门中断

elsif quick='1' then q1<=3; --提前关门

elsif q1=6 then door<="00";updown<='1';up<='1'; --关门完毕,电梯进入上升状态

elsif q1>=3 then door<="01";q1<=q1+1; --电梯进入关门状态

else q1<=q1+1;door<="00"; --电梯进入等待状态

end if;

elsif en_dw='1' then --下降预操作 if deng='1' then door<="10";q1<=0;q2<=q2+1;

elsif quick='1' then q1<=3;

elsif q1=6 then door<="00";updown<='0';down<='1';

elsif q1>=3 then door<="01";q1<=q1+1;

else q1<=q1+1;door<="00";

end if;

end if;

if g1='1' then led<="1001111"; --电梯到达1楼,数码管显示1 if d11='1' or c_u11='1' then d11<='0'; c_u11<='0';opendoor<='1';

--有当前层的请求,则电梯进入开门状态 elsif dd_cc>"000001" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态 elsif dd_cc="000000" then opendoor<='0'; --无请求时,电梯停在1楼待机

end if;

elsif g2='1' then led<="0010010"; --电梯到达2楼,数码管显示2 if updown='1' then --电梯前一运动状态位上升 if d22='1' or c_u22='1' then d22<='0'; c_u22<='0'; opendoor<='1'; --有当前层的请求,则电梯进入开门状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';

--有下降请求,则电梯进入预备下降状态 end if; --电梯前一运动状态为下降

elsif d22='1' or c_d22='1' then d22<='0'; c_d22<='0';opendoor<='1'; --有当前层的请求,则电梯进入开门状态 elsif dd_cc<"000010" then en_dw<='1'; opendoor<='0';

--有下降请求,则电梯进入预备下降状态 elsif dd_cc>"000011" then en_up<='1'; opendoor<='0';

--有上升请求,则电梯进入预备上升状态

end if;

elsif g3='1' then led<="0000110"; --电梯到达3楼,数码管显示3

if updown='1' then

if d33='1' or c_u33='1' then d33<='0'; c_u33<='0';opendoor<='1';

elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';

end if;

elsif d33='1' or c_d33='1' then d33<='0'; c_d33<='0'; opendoor<='1';

elsif dd_cc<"000100" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"000111" then en_up<='1'; opendoor<='0';

end if;

elsif g4='1' then led<="1001100"; --电梯到达4楼,数码管显示4

if updown='1' then

if d44='1' or c_u44='1' then d44<='0'; c_u44<='0'; opendoor<='1';

elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';

end if;

elsif d44='1' or c_d44='1' then d44<='0'; c_d44<='0'; opendoor<='1';

elsif dd_cc<"001000" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"001111" then en_up<='1'; opendoor<='0';

end if;

elsif g5='1' then led<="0100100"; --电梯到达5楼,数码管显示5

if updown='1' then

if d55='1' or c_u55='1' then d55<='0'; c_u55<='0';opendoor<='1';

elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';

elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';

end if;

elsif d55='1' or c_d55='1' then d55<='0'; c_d55<='0';opendoor<='1';

elsif dd_cc<"010000" then en_dw<='1'; opendoor<='0';

elsif dd_cc>"011111" then en_up<='1'; opendoor<='0';

end if;

elsif g6='1' then led<="0100000"; --电梯到达6楼,数码管显示6 if d66='1' or c_d66='1' then d66<='0'; c_d66<='0';opendoor<='1';

elsif dd_cc<"100000" then en_dw<='1'; opendoor<='0';

end if;

else en_up<='0';en_dw<='0'; --电梯进入上升或下降状态

end if;

end if;

else q<=1;alarm<='0'; --清除超载报警

if d1='1' then d11<=d1; --对电梯内人请求信号进行检测和寄存

elsif d2='1' then d22<=d2;

elsif d3='1' then d33<=d3;

elsif d4='1' then d44<=d4;

elsif d5='1' then d55<=d5;

elsif d6='1' then d66<=d6;

end if;

if c_u1='1' then c_u11<=c_u1; --对电梯外人上升请求信号进行检测和寄存

elsif c_u2='1' then c_u22<=c_u2;

elsif c_u3='1' then c_u33<=c_u3;

elsif c_u4='1' then c_u44<=c_u4;

elsif c_u5='1' then c_u55<=c_u5;

end if;

if c_d2='1' then c_d22<=c_d2; --对电梯外人下降请求信号进行检测和寄存

elsif c_d3='1' then c_d33<=c_d3;

elsif c_d4='1' then c_d44<=c_d4;

elsif c_d5='1' then c_d55<=c_d5;

elsif c_d6='1' then c_d66<=c_d6;

end if;

dd<=d66&d55&d44&d33&d22&d11; --电梯内人请求信号并置

cc_u<='0'&c_u55&c_u44&c_u33&c_u22&c_u11; --电梯外人上升请求信号并置 cc_d<=c_d66&c_d55&c_d44&c_d33&c_d22&'0'; --电梯外人下降请求信号并置 dd_cc<=dd or cc_u or cc_d; --电梯内、外人请求信号进行综合

end if;

ud<=updown; --电梯运动状态显示 led_d<=dd; --电梯内人请求信号显示

led_c_u<=cc_u; --电梯外人上升请求信号显示 led_c_d<=cc_d; --电梯外人下降请求信号显示

end if;

end process;

end behav;

四、电梯系统仿真程序仿真

注:1. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;l ed_d、led-c_u和led_c_d显示用户的请求。

2. 电梯经过准备上升状态后,进入上升状态,到达2楼,3楼时,不停继续前进。

3. 电梯上升到4楼时,响应请求(c_u4),开门载客;进入预备上升状态。

电梯控制程序仿真局部放大图-1

注:1. 电梯上升到6楼时,响应请求(d_6),开门卸客;进入预备下降状态。

2.电梯下降经过5楼,4楼都不停,到达3楼开门卸客,电梯进入预备下降状态。

电梯控制程序仿真局部放大图-2

注:1. 电梯到达3楼时,响应请求(c_d3),开门载客;进入预备下降状态。

2. 电梯在预备下降状态下,电梯应超载(full='1'),发出超载警报alarm;超载信号

消失(full='0'),电梯重新进入预备下降状态。

3. 电梯接受到提前关门信号quick,电梯跳过关门等待时间。仿真图中q1从1跳到3;

进入关门状态。

4. 电梯接受到deng、c_d3和d3电梯重新进入预备下降状态,并且c_d3和d3信号都

可以对q2(q2<3时)进行清零处理。

5. 当连续的关门中断的次数超过3次时,不认为是出自乘客的需要,而认为是故障,

并报警,等技术员处理完故障时,用clr信号才可以清除报警。

电梯控制程序仿真局部放大图-3

注:1. 电梯排除故障后继续运行。

2. 电梯执行完所有请求时电梯将停在1楼待机。

电梯控制程序仿真局部放大图-4

五、硬件验证(操作)说明

1. FILE---NEW—TEXT EDTOR FILE

2. SAVE AS---COMPILER

3. MAXPLUS II—WAVEFORM EDITOR—SAVE AS

4. ASSIGN—DEVICE

5. ASSIGN—PIN/LOCATION/CHIP

6. MAXPLUS II—SIMULATOR

7. MAXPLUS II--PROGRAMMER

六、课程设计总结

七.参考书目

[1]《电子技术基础模拟部分》(第四版),康华光主编,高教出版社

[2]《数字电子技术基础》(第四版),阎石主编,高教出版社

[3]《PLD与数字系统设计》,李辉主编,西安电子科技大学出版社

[4]《CPLD数字电路设计》,廖裕评,陆瑞强主编,清华大学出版社

电梯模拟课程设计

[问题描述] 设计一个电梯模拟系统。这是一个离散的模拟程序,因为电梯系统是乘客和电梯等 “活动体”构成的集合,虽然他们彼此交互作用,但他们的行为是基本独立的。在离散的模拟中,以模拟时钟决定每个活动体的动作发生的时刻和顺序.系统在某个模拟瞬间处理有待完成的各种事情,然后把模拟时钟推进到某个动作预定要发生的下一个时刻。 [基本要求] (1) 模拟某校五层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。五个楼层由下至上依次称为地下层、第一层、第二层、第三层和第四层,其个第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 (2) 乘客可随机地进出于任何层。对每个入来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 (3) 模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要耗费一定的时间单位(简记为t),比如: 有人进出时,电梯每隔40t测试一次,若无人进出,则关门。 关门和开门各需要20t 每个人进出电梯均需要25t 如果电梯在某层静止时间超过300t,则驶回1层候命。 (4) 按时序显示系统状态的变化过程:发生的全部人和电梯的动作序列。 [测试数据] 模拟时钟Time的初值为0,终值可在500—l0000范围内逐步增加。 [实现提示] (1) 楼层由下至上依次编号为0,1,2,3,4。每目有要求Up(上)和Down(下)的两个按钮,对应l0个变量CallUp[0..4]和 CallDown[0..4]。电梯内5个目标层按钮对应变量CallCar[0..4]。有人按下某个按钮时,相应的变量就置为1,一旦要求满足后,电梯就把该变量清为0。 (2) 电梯处于三种状态之一:GoingUp(上行)、GoingDown(下行)和IdIe(停候)。如果电梯处于IdIe状态且不在1层,则关门并驶回1层。在1层停候时,电梯是闭门候命。一旦收到往另一层的命令,就转入GoingUp或GoingDown状态,执行相应的操作。

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

PLC电梯控制课程设计报告

课程设计报告 题目:电梯控制课程设计 院 (系): 电气信息工程学院 专业:电气工程及其自动化 姓名: 学号: 年级: 11级电气一班

电梯控制课程设计 一.设计题目:电梯控制课程设计。 二.控制要求: 电梯由安装在各楼层厅门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿厢内设有楼层内选按钮S1-S4,用以选择需要停靠的楼层。L1为一层指示,L2为二层指示,L3为三层指示,L4为四层指示,SQ1-SQ4为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在一层,在三层轿厢外呼叫时,必须按三层上升呼叫按钮,电梯才响应呼叫(从一层运行到三层),按三层下降呼叫按钮无效;反之,若电梯停在四层,在三层轿厢外呼叫时,必须按三层下降呼叫按钮,电梯才响应呼叫(从四层运行到三层),按三层上升呼叫按钮无效,以此内推。 三.PLC的选型: 本次课程设计选用的PLC的型号为FX1N-40MR, FX1N系列是一种卡片大小的PLC,适合在小型环境中进行控制。它具有卓越的性能、串行通讯功能以及紧凑的尺寸,这使得它们能用在以前常规PLC无法安装的地方。输入点:24 输入点:16 继电器输出。它具有如下特点: 1.系统配置即固定又灵活; 2.编程简单; 3.备有可自由选择,丰富的品种; 4.令人放心的高性能; 5.高速运算; 6.使用于多种特殊用途; 7.外部机器通讯简单化; 8.共同的外部设备。 产品功能如下: 1、内置式24V直流电源:24V、400mA直流电源可用于外围设备,如传感器或其它元件。 2、时钟功能和小时表功能:在所有的FX1N PLC中都有实时时钟标准。时间设置和比较指令易于操作。小时表功能对过程跟踪和机器维护提供了有价值的信息。 3、持续扫描功能:为应用所需求的持续扫描时间定义操作周期。 4、输入滤波器调节功能:可以用输入滤波器平整输入信号(在基本单元中x000到x017)。 5、元件注解记录功能:元件注解可以记录在程序寄存器中 6、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 7、在线程序编辑:在线改变程序不会损失工作时间或停止生产运转 8、远程维护:远处的编程软件可以通过调制解调器通信来监测、上载或卸载程序和数据 9、密码保护:使用一个八位数字密码保护您的程序。

嵌入式课程设计-电梯系统

一、实验目的 1、熟悉ARM开发板的基本使用和基本开发流程。 2、加强对嵌入式操作系统的了解,加强对课内知识的使用。 3、熟悉基本的单片机开发环境。 4、锻炼一定团队合作能力以及对嵌入式问题的解决能力。 二、实验仪器及设备 安装开发环境的PC机 ARM7实验板及相应链接线 AK Series Emulator 仿真器 三、实验内容或任务 1、基本实验 内容1:ARM(LPC2131)&ADS V1.2环境熟悉 LPC2131 硬件环境介绍; ADS 工具简介; 要求:了解LPC2131 Demo Board 资源、环境;掌握ADS工具使用。 内容2:ADS 模板工具& ARM C语言程序设计 ADS环境中编程模板的理解与使用; 使用ADS工具,基于LPC2131进行简单的C程序设计; 要求:熟练掌握ADS工具的使用,掌握ARM C程序设计、调试技术; 内容3:GPIO Output(LED、Beep)实验 理解ARM 芯片引脚功能选择; 理解GPIO的使用设置、输出驱动方法; 熟练掌握ADS工具,基于LPC2131进行简单的C程序设计; 要求:实现一个秒闪航标灯和蜂鸣。 内容4:GPIO Input(Key Input)实验 理解ARM 芯片引脚功能选择; 理解GPIO的使用设置、输入驱动方法; 熟练掌握ADS工具,基于LPC2131进行简单的C程序设计; 要求:实现一个秒闪航标灯和可按键控制的蜂鸣器。 内容5:Eint VIC实验

理解ARM 芯片引脚功能选择; 理解外中断的含义与应用; 熟练掌握ADS工具,基于LPC2131进行简单的C程序设计; 要求:实现一个秒闪航标灯和可按键控制的蜂鸣器(用外中断方式实现)。 内容6:定时器 理解ARM 芯片引脚功能选择; 理解GPIO的使用设置、输入/输出驱动方法; 熟练掌握ADS工具,基于LPC2131进行简单的C程序设计; 要求:基于ucosII的GPIO、定时器、RTC、串口等综合实验。 内容7:异步串行口UART 理解ARM 芯片引脚功能选择; 理解GPIO的使用设置、输入/输出驱动方法; 理解UART串行通信原理,学习ARM UART资源的驱动编程; 熟练掌握ADS工具,基于LPC2131进行简单的C程序设计; 要求:结合前边内容,实现LPC2131和PC端串行传输数据信息。 2.、选题实验 电梯控制系统 要求该控制器应具备如下功能: 1,通过键盘输入楼层,输入之后数码管从当前位置向上或向下运行。流水灯表示运动方向。 2,流水灯在运行中显示电梯正在运行的方向,并且在电梯到达时在该楼层亮闪3次。 3,运行到对应楼层,显示楼层号。 4,灯表示运行楼层 5,可同时满足多用户需求。达到该系统与现实电梯系统的一致性。 6,数码管数字大小增减表示向上、向下。

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

数字电子技术课程设计报告-电梯控制

! 题目名称:电梯控制 院系:电气工程学院 专业班级:电子工艺与管理 学生姓名:徐小可 学号: 41 指导教师:孙艳 完成时间:2012年11月30 目录 ` 摘要 0 一,设计目的及意义 (1) 目的: (1) 意义: (1) 二,整体设计 (2)

2.1 设计目标 (2) 设计要求 (2) 2.3 整体设计流程图 (3) : 三,电梯控制系统的实现 (3) 3.1 实现功能 (3) 3.2 实现电路 (4) 楼层的输入电路 (4) 555定时电路 (4) (5) (6) 3.3主要元器件介绍 (6) 。 译码器 (6) (7) D触发器 (8)

四,实验总结 (9) 4. 1 设计中主要出现的问题 (9) 4.2 尚待解决的问题 (9) 4. 3 心得体会 (10)

摘要 随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。当今世界,电梯的使用量已成为衡量现代化程度的标志之一. 设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。以此来设计了一个四层电梯控制系统。 关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管; 一,设计目的及意义 本设计是利用已学过的数电知识,设计的四层电梯控制系统。 目的: (1)重温自己已学过的数电知识;

数据结构课程设计报告--电梯模拟

课程设计 课程名称数据结构 题目名称 2.8电梯模拟(难度5) 学生学院计算机学院 专业班级 XXXXXXXXXX 学号XXXXXXXX 学生姓名 XXX 指导教师 XX 2013年7月4日

电梯模拟系统 一、简单介绍 1、问题描述 设计一个电梯模拟系统,这是一个离散的模拟程序,因为电梯系统是乘客和电梯等“活动体”构成的集合,虽然他们彼此交互作用,但他们的行为是基本独立的,在离散的模拟中,以模拟时钟决定每个活动体的动作发生的时刻和顺序,系统在某个模拟瞬间处理有待完成的各种事情,然后把模拟时钟推进到某个动作预定要发生的下一个时刻。 2、基本要求 (1)、模拟某校五层教学楼的电梯系统。该楼有一个自动电梯,能在每层停留。五个楼层由下至上依次称为地下层、第一层、第二层、第三层,第四层,其中第一层是大楼的进出层,即是电梯的“本垒层”,电梯“空闲”时,将来到该层候命。 (2)、乘客可随机地进出于任何层。对每个人来说,他有一个能容忍的最长等待时间,一旦等候电梯时间过长,他将放弃。 (3)、模拟时钟从0开始,时间单位为0.1秒。人和电梯的各种动作均要消耗一定的时间单位(简记为t),比如:有人进出时,电梯每隔40t测试一次,若无人进出,则关门;关门和开门各需要20t;每个人进出电梯均需要25t;如果电梯在某层静止时间超过300t,则驶回1层侯命。 (4)、按时序显示系统状态的变化过程:发生的全部人和电梯的动作序列。 二、设计目的 当初之所以选择做电梯模拟这个课题,主要是因为觉得当今时代需要,所以觉得想尝试一下,因为为了方便,我们都会选择乘坐电梯,既省时间又省力,粗略看了书本里面的描述,觉得应该不难,只是按照要求输出动作序列即可,后来经过老师的辅导,才发现,原来自己选了个最难的课程设计,也问了同学,很多都是因为太难了才没有选这个题目,因为它远比想象中的复杂的多很多,它实际上是模拟现实中的电梯的运行状况,因此要考虑很多现实中遇到的实际情况,坐过电梯的人都会应该有点印象,现实中的电梯是按照每个人按下按钮的时间顺序进行服务的,但是,并不是将一个人接进来后就直接开往其目的楼层就完事,其实,当电梯将某个人送往其目的楼层的过程中,经过某层时,如果此层的人也要用电梯,虽然其按按钮的时间有可能比先前那个人晚,但是电梯依旧会开门将其接入,然后继续送前一个人去目的地,之后再送这个人去他的目的楼层,如果有多人在电梯中时,也是按照申请时间的先后决定先去哪层的,同理,若在某层,电梯中的某个人,或者某几个人要在该层下,电梯也不能忽略这些,而是要先开门使要下的人出去,之后继续开往先前的楼层,所以设计时,每当电梯上升或下降一层后,都应该检测现在电梯所处的这层有没有人有用电梯的请求,或者电梯中的人有没有要在该层下的情况,若有则电梯要在该层停一下,否则继续上升或下降…. 此外,当电梯停在某一层时不外乎三种情况:1.此层有个人{或有几个人}等候要用电梯 2.电梯中的某个人或者某几个人要在该楼层下 3.则是前面两种情况的结合,此时情况相当复杂,因为,题目要求电梯开门和关门都要消耗一定的时间(题目中没有提电梯上升或者下降一层需要多少时间,所以不妨就假定为30t),而且人进电梯和人出电梯时也要消耗一定的时间,这说明了当同一时刻有至少两个以上的人要进行同一种动作的时候(比方说都是要出电梯,或都是在某一层等电梯,电梯来了后都要进去),但是因为每个人进出电梯都要消耗时间,所以不能单纯的同时让这些人同进或同出电梯,而是要有先后顺序的进出,此为其

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

毕业设计基于plc的电梯控制系统设计课程设计

东北石油大学课程设计 2013年11月29 日

东北石油大学课程设计任务书 课程电气工程课程设计 题目基于PLC的电梯控制系统设计 专业姓名学号 主要内容: 为保证电梯运行既高效节能又安全可靠,必须改进电梯控制方式。根据顺序逻辑控制的需要发展起来的可编程控制器(PLC),它是专门为工业环境应用而设计的数字运算操作的电子装置。PLC处理速度快,可靠性高,能够保证电梯正常、安全、可靠地运行。同时,由于电机交流变频调速技术的发展,电梯的拖动方式己由原来直流调速逐渐过渡到变频调速,不仅能满足乘客的舒适感和保证平稳的精度,还可以降低能耗,节约能源,减小运行费用,本文将基于PLC的变频调速方法应用到电梯系统中。 参考资料: [1]叶安丽.电梯技术基础[M].北京:机械工业出版社,2007.65-80 [2]李秧耕,何乔治,何峰峰.电梯基本原理及安装维修全书[M].北京:机械工业出 版社,2003 [3]陈伟国.电梯的速度控制研究:硕十学位论文[D].杭州:浙江工业大学,2005 [4]李雪枫,武丽梅,李立新.电梯机械系统的动态特性分析[M].机械工程师,2007 [5]钟肇新,范建东.可编程序控制器原理及应用[J].广州:华南理工大学出版社,2002 成期限2013.11.18至2013.11.24 指导教师 专业负责人 2013年11 月29 日

目录 1 设计要求 (1) 2电梯设备简介 (1) 2.1电梯的分类 (1) 2.2电梯的主要参数 (1) 2.3电梯的安全保护装置 (2) 3 PLC电梯系统的选择及其控制系统的发展 (3) 3.1电力调速系统的应用与发展 (3) 3.2电机调速系统的设计 (3) 3.3异步电机的调速方法及经济技术比较 (4) 3.4井道信号系统的设计 (7) 3.5电梯控制系统的设计 (7) 3.6可编程控制器(PLC)的选型 (8) 3.7设计思路 (8) 4 系统软件开发 (12) 4.1电梯的自检状态 (12) 4.2电梯的正常工作状态 (12) 4.3系统的软件开发过程 (12) 4.4程序框图设计 (13) 参考文献 (15) 附录A电梯梯形图控制程序 (16)

PLC课程设计四层电梯控制(1)

PLC 课程设计四层电梯控制 实训目的 1. 掌握复杂输入输出控制系统的程序编程技巧 2. 掌握四层电梯控制系统的接线、调试、操作 序号 名 称 型号与规格 数量 备注 1 实训装置 THPFSL- 2 1 2 实训挂箱 A19-1 1 3 导线 3号 若干 4 通讯编程电缆 SC-09 1 二菱 5 实训指导书 THPFSL-1/2 1 6 计算机(带编程软件) 1 自备 电梯的电气控制系统由控制装置,操纵装置,平层装置,和位置显示装置等部分组成。 其中控制装置根据电梯的运行逻辑功能要求,控制电梯的运行,设置在机房中的控制柜上。 操纵装置是由轿厢内的按钮箱和厅门的召唤箱按钮来操纵电梯的运行的。 平层装置是发出平 层控制信号,使电梯轿厢准确平层的控制装置。 所谓平层,是指轿厢在接近某一楼层的停靠 站时,欲使轿厢地坎与厅门地坎达到同一平面的操作。 位置显示装置是用来显示电梯所在楼 层位置的轿内和厅门的指示灯,厅门指示灯还用尖头指示电梯的运行方向 四、 控制要求 1. 总体控制要求: 电梯由安装在各楼层电梯口的上升下降呼叫按钮 ( U1、U2、D2、 UP DO 邮J SL4 SL3 SL2 SL DM4 LP3 S4 □ S2 I S3 □ $1 □ 1關 1 f ] S3 r \ S2 1 I SI f 'll 1 I W i i 03 i i □2 1 1 1丨〕 o o 1 1 | U2 i I I JI 1 1 S04 1 I SQ3 1 I 1 1 1 | SQ 2 ! 1 I 1 1 5Q1 1 I 1 1 L4 I I 1 1 L3 1 I 1 1 1 1 口 Ll i i UP i i DOWN 1 1 Q n. 1 1 I DW I A3 DN2 LP3 I 1 1 (1 1 1 Q | UP2 1 ■ I I JP1 I I SL4 1 1 SL3 1 I 1 1 1 | SL2 1 1 SL1 1 .1 + COM 04 U3 D3 U2 02 JI DM3 UP2

微机原理课程设计--电梯控制

目录 一、课设目的 (1) 二、课设内容与要求 (1) 三、电梯设计的具体实现 (1) (一)电梯的工作方式 (1) (二)总体设计思路 (2) (三)系统硬件电路设计 (3) 1、设计结构 (3) 2、模块分析 (3) 3、画出响应的电路图 (4) (四)软件设计 (4) 1.主要设计模块要求 (5) 2.程序流程图 (5) (1)主程序框图. (5) (2)中断服务程序框图 (6) (3)电梯算法流程图 (7) 四、实现程序 (11) 五、设计体会 (19) 六、附录 (20) 七、参考资料 (21)

一、课设目的 1.学会用微机原理; 2.掌握计算机对输入信号检测和输出控制的基本方法; 3.掌握常用按钮、发光二极管的使用方法。 4.提高学生的动手能力,达到理论和实践紧密结合。 二、课设内容与要求 1. 课设内容 首先了解电梯运行规律,我们结合实际假设电梯的几种运行情况: (1)若当前电梯停在某层(七段码显示相应楼层,7个LED 全灭)。键入1~9数字键,如键入的数字与当前电梯停层同,则不产生动作;若不同则相应楼层的LED亮;如再按运行键,则电梯自动判别上升或下降(在运行过程中七段码显示楼层变化)一直到达希望停的楼层(七段码显示该楼层,相应LED亮); (2)若当前电梯正在上升或下降运行中(此时七段码显示楼层变化,LED指示希望抵达的楼层);若键入新的希望停的楼层数字(相应的LED亮),则对同方向(上升或下降)未到的楼层能停,对其它情况则先停原来按下的楼层,然后按运行键后继续运行)。有如下几种情况: 电梯当前在3层,向上运行,LED 指示希望在5层停,此时若键入3,则电梯将在5楼停(同方向),若再按运行键,则继续运行至7层停; 键入的不是5而是7,则电梯将先停在7层,再按运行键,运行至7层停; 键入的不是7(或5),而是1,则电梯先停在7层(先达同方向楼层)。再按运行键,运行至1层停。 2.连接线路 并行接口电路选择8255芯片,七段数码管、LED 指示灯连接线路由学生自己设计,可 参考实验指导书的相关内容。楼层数字键和运行按钮都可由PC机键盘代替 3.编写程序 4.调试程序。汇编、连接后执行程序,观察电梯运行情况。

电梯控制系统(Java课程设计)内容

A1 系统描述 1.功能描述 本电梯系统用来控制一台运行于一个具有N层的大楼电梯,它具有上升、下降、开门、关门、载客的基本功能。 大楼的每一层都有: (1)两个指示灯这两个指示灯分别用于指示当前所在的层数和电梯的当前状态(上行、下行或停止); (2)电梯锁用于将本层的电梯门锁住,并使本楼层的电梯按钮失效,电梯里相应的按钮也失效,使得电梯不能也不可能停在本层; (3)按钮除了第一层和顶层,每一层都有两个按钮(上行、下行),乘客可以呼叫上楼或下楼,顶楼只有一个下楼按钮,而第一层只有一个上楼按钮。 电梯里面具有: (1)标示从“1”到“N”的N个按钮,用于让乘客选择所要的层数; (2)关门按钮当乘客按下此按钮时,电梯门如果开着将关上,否则不执行任何操作;(3)开门按钮当乘客按下此按钮时,电梯如果停在某一层,电梯门将打开,否则不执行任何操作; (4)超重测试和警报装置电梯的地面有超重感应装置,当电梯载重达到某一个值时,电梯“超重警报铃”发出超重警报,并且不执行关门命令。 2.关键实现方法描述 用“最大距离循环”来说明电梯的运行方式。也说是说,电梯处于上行状态时就一直上行直到不再有上行任务,电梯处于下行状态时就一直下行直到不再有下行任务。 用两个队列来实现电梯的调度,电梯根据这两个队列发送来的楼层号作为目的地进行运行。在上行队列中保存了所有的上行请求的楼层号(包括楼层的呼叫和电梯里的楼层按钮请求),即保存电梯上行时需要停的楼层号。队列排列规则是:高于或等于电梯当前所地楼层的上行请求的楼层号从小到大排在队列的前部分,低于电梯当前所地楼层的上行请求的楼层号从小到大排在队列后部分。如果新请求的楼层号被插在队列头时同时将这个楼层号发送给电梯作为它的目的地。在下行队列中保存了所有的下行请求的楼层号(包括楼层的呼叫和电梯里楼层按钮请求),即保存电梯下行时需要停的楼层号。队列排列规则是:低于或是等于电梯当前所在楼层的下行请求的楼层从大到小排在队列前部分,高于电梯当前所在楼层的下行请求的楼层号从大到小排在队列后部分。 当电梯正在上行时,如果上行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起队列头的改变。 (1)新的请求插入到上行队列头。 (2)电梯到达某个楼层将这个楼层的请求从上行队列的队列头删除,后继的更高楼层号成为对列头。 当电梯正在下行时,如果下行队列的队列头改变时,系统将同时将这个新的队列头发送给电梯作为它的新目的地。有两种原因引起下行队列头的改变。 (1)新的请求插入到下行队列头。 (2)电梯到达某个楼层将这个楼层的请求从下行队列的队列头删除,后继的更高楼层号成为对列头。 在电梯上行过程中,当上行队列中没有比电梯当前所在的楼层更高的楼层号时,发送电梯目的地的开始从下行队列中取得。同样,在电梯下行过程中,当下行队列中没有比电梯当前所在的楼层更低的楼层号时,发送电梯目的地的开始从上行队列中取得。如此反复,当两个队

电梯课程设计报告

课程设计说明书(论文) 题目电梯控制课程设计 课程名称电梯控制技术 院系电力工程学院 专业 班级 学生姓名 学号 设计地点 指导教师 设计起止时间:年月日至年月日

前言 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 本文通过研究电梯实物模型,可编程控制器,了解模型的结构,了解PLC的I/O,并在此基础上设计单台四层电梯曳引系统;设计开关门系统;设计电梯楼层召唤,轿厢命令响应系统;设计电梯楼层控制逻辑;设计电梯控制继电器原理图等。

目录 一、绪论 (11) 1、电梯基本知识 (11) 2、PLC基本知识 (11) 二、电梯控制系统的硬件设计 (12) 1、四层电梯控制上下行主电路 (12) 2、电梯门开关主电路 (13) 3、四层电梯控制电路图 (13) 5、四层电梯模拟控制面板 (15) 三、电梯控制系统的软件设计 (16) 1、四层电梯控制要求 (16) 2、电梯运行流程图 (16) 2、输入/输出的分配如下 (17) 3、PLC内部字、存储位分配表 (18) 4、四层电梯控制程序梯形图 (19) 四、结语 (23) 五、附录........................................................................................................... 错误!未定义书签。 1、电梯课设任务书......................................................................................... 错误!未定义书签。

基于FPGA的电梯控制器设计

唐山学院 EDA技术课程设计 题目基于FPGA的电梯控制器设计 系(部) 信息工程系 班级 姓名 学号 指导教师 2013 年1 月7 日至1 月11 日共 1 周 2013年1 月10 日 《EDA技术》课程设计任务书

课程设计成绩评定表

目录 1 前言 (1) 2 EDA技术介绍 (2) 2.1 EDA技术简介 (2) 2.2 EDA技术的发展 (2) 3 电梯控制器设计 (3) 3.1 电梯控制器设计总体框图 (3) 3.2 电梯控制器设计思路 (3) 3.3 电梯处于各楼层的具体分析 (4) 3.4 电梯外部端口具体说明 (6) 3.4.1 定义各个端口 (6) 3.4.2 引脚锁定 (6) 4 仿真结果与说明 (8) 5 总结 (10) 参考文献 (11) 附录程序代码 (12)

1 前言 当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(“早期安装的电梯多位继电器控制系统”)、FPGA/CPLD的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGA/CPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 随着EDA技术的快速发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。采用EDA设计,拥有电子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般方便快捷。本次设计就是应用EDA电子电路技术来设计电梯控制器,从而使用一片芯片就可以实现对电梯的控制的。

数字电子技术 课程设计报告 电梯控制2

电 子 技 术 课 程 设 计 课题名称:电梯控制 学院:电气学院 专业:电子信息工程 姓名: 指导教师:谢贝贝 学号:

目录 摘要,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 2第一章设计目的及意义,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,3 1 目的,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, ,3 2 意义,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 3 第二章整体设计,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,,,,,,,,,,,,,4 1设计目标,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,4 2 设计要求 (4) 3 整体设计流程图,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,5 第三章电梯控制系统的实现,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,,6 1 实现功能 (6) 2 实现电路 (6) 1 楼层的输入电路 (6) 2 555定时器 (6) 3 楼层显示电路 (7) 4 四层电梯控制系统实现总电路 (8) 3 主要原器件介绍 (8) 1 译码器 (8) 2 数码管 (9) 3 D触发器 (10) 第四章实验总结,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,.,,,,,11 1 设计中主要出现的问题 (11) 2 尚待解决的问题 (11) 3 心得体会 (11) 4 参考文献 (12)

java课程设计报告(模拟电梯系统)

Java课程设计报告 组号:第四组 课题名称:模拟电梯调度 班级:09计一 课题组成员姓名及学号: 09030101 课程设计日期:2011.6.20-2011.6.24 地点:秋白楼B720

一.正文 1)[课程设计目的与要求] ○1目的 掌握线程的应用及线程在多媒体中的使用,了解线程的运行机制和线程的新建、就绪、运行、挂起、死亡五种状态,对线程由新的认识和巩固。熟练java的动画编程,理解repaint()方法的调用过程及如何通过改写update()方法和双缓冲技术消除动画中出现的闪烁现象。理解java的容器和组件的应用。掌握java的事件处理机制:实现ActionListener接口,对事件源添加侦听器,实现actionPerformed()方法用以处理事件源所产生的事件。另外使组员能够针对具体的有一定代表性的小型综合应用类课题设计、分析,全面掌握面向对象的思想、JAVA语言的应用技巧、方法,从而使组员进一步理解和掌握利用JAVA开发综合系统的过程,学会用java开发工具,同时培养组员的合作意识和团队精神,培养学生对实际系统的采用面向对象的方法进行分析与设计能力,从而使学生提高JAVA语言运用与开发的综合能力。 ○2需求分析 模拟电梯调度:有一栋三层的楼房,楼内有三个电梯。要求电梯实现可以正常上下,和到达目的楼层后即停留并且实现开门及关门动作。 电梯需有多层停留功能即:当对同一个电梯需要其在多层都有下客时,电梯要在有下客动作的楼层停留然后自动去下一个有下客动作的楼层,而不需要乘客在次发出请求。 电梯具有距离发出请求的楼层最近的电梯响应请求的功能即:当某一楼层有乘客需要使用电梯时,由距离该楼层最近的电梯去响应,而不是任意一个电梯都可以响应。(注:当存在距离该楼层最近的电梯且该电梯正在远离该楼层运动时该电梯不响应,当存在多个电梯距离该楼层最近时由编号低的电梯响应而不是全都响应。 2)[本人在课程设计中承担的任务] 在课程设计中我主要负责事件处理,并判断该事件是何种事件,需要做出怎样的响应。同时确定各电梯的工作状态,以及判断该事件是否应该得到响应若响应该事件那么应由哪一个电梯去响应。当然我所做的还有判断哪一个电梯距离发出请求的楼层最近,此时由距离该楼层最近的电梯响应请求,若距离最近的电梯处于远离该楼层的运动状态时则由下一个最近的电梯响应,若存在多个电梯距离最近,那么由编号低的响应。总的来说我的工作是考虑各种情况下给控制电梯各种动作(上、下、开门、关门、电梯响应、楼层)的变量赋予正确的值以实现电梯的正常运作。

三层电梯PLC控制系统设计方案报告

三层电梯PLC控制系统设计方案报告

PLC课程设计报告题目:三层电梯PLC控制系统设计 院别: 姓名: 学号: 指导教师: 日期:

摘要 本设计主要利用欧姆龙系统完成。主要介绍了3层电梯的PLC的特点、PLC的功能、发展趋势、PLC控制电梯的软、硬件设计。在示意图、接线图、电梯的控制梯形图、指令表、和程序流程图的基础之上提出了PLC的编程方法。 可编程控制系统(Programmable Logic Controller)是一种专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,通过数字式或模拟式的输入输出来控制各种类型的机械设备或生产过程。由于它可通过软件来改变控制过程,而且具有体积小、组装维护方便、编程简单、可靠性高、抗干扰能力强等特点,已广泛应用于工业自动化控制控制的各个领域,大大推进了机电一体化的进程。 电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器--接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,而采用 PLC组成的控制系统可以很好地解决上述问题,使电梯运行更加安全、方便、舒适。目前PLC在电梯行业已得到广泛应用。在层数和控制功能较少的场合,采用PLC控制较为方便。

目录 第一章三层楼电梯自动控制 (3) 一.电梯设计要求 (4) 二.电梯设计分析 (5) 1.分析被控对象 (5) 2.分配PLC的输入/输出端子 (5) 3.统计输入、输出点数并选择PLC型号 (7) 4.输入/输出端子接线图 (8) 5.运动形式分析 (8) 6.助记符 (15) 三.硬件配置设计 (19) 1. 电梯控制构成 (20) 2. 主电路 (21) 四.型号规格 (22)

相关文档
最新文档