数电模电课程设计--六进制同步加法计数器

数电模电课程设计--六进制同步加法计数器

目录

1 数字电子设计部分......................................... 错误!未定义书签。

1.1六进制同步加法计数器

1.1.1课程设计的目的

1.1.2设计的总体框图

1.1.3设计过程

1.1.4设计的逻辑电路图

1.1.5设计的电路原理图

1.1.6实验仪器

1.1.7实验结论(分析实验中出现的故障及产生的原因

1.1.6实验仪器

1.1.7实验结论(分析实验中出现的故障及产生的原因

1.2串型数据检测器

1.2.1课程设计的目的

1.2.2设计的总体框图

1.2.3设计过程

1.2.4设计的逻辑电路图

1.2.5设计的电路原理图

1.2.6实验仪器

1.2.7实验结论(分析实验中出现的故障及产生的原因)

1.3参考文献

2 模拟电子设计部分......................................... 错误!未定义书签。

2.1 课程设计的目的与作用............................. 错误!未定义书签。

2.1.1课程设计 ................................ 错误!未定义书签。

2.2 设计任务、及所用multisim软件环境介绍............ 错误!未定义书签。

2.3 电路模型的建立................................... 错误!未定义书签。

2.4 理论分析及计算................................... 错误!未定义书签。

2.5 仿真结果分析..................................... 错误!未定义书签。

2.6 设计总结和体会................................... 错误!未定义书签。

2.7 参考文献......................................... 错误!未定义书签。

/

/ /

/ / 1 数字电子设计部分 1.1六进制同步加法计数器

1.1.1课程设计的目的

1、掌握同步加法计数器工作原理及逻辑功能

2、掌握电路的分析,设计及运用

3、学会正常使用JK 触发器

1.1.2设计的总体框图

设计过程

(1)状态图 001 101

111

(2)时序图

(3)触发器名称

选用三个CP 下降沿触发的JK 触发器74LS112 (4)求时钟方程

CP 0=CP 1=CP 2=CP CP 是整个要设计的时序电路的输入时钟脉冲 (5)求状态方程

Y

Q

2

Q 1

Q 0 C P

n

n

次态Q 2n+1Q 1n+1Q 0n+

1

的卡诺图

Q 2

n+1的卡诺

Q 1

n+1的卡诺图

Q0n+1的卡诺

由状态卡诺图图得到的状态方程

Q

2

n+1=Q

1

n Q

2

n+Q

1

n Q

2

n+Q

n Q

2

n=Q

1

n Q

2

n+Q

1

n Q

n Q

2

Q

1

n+1=Q

n Q

1

n+Q

2

n Q

n Q

1

n

Q

n+1=Q

2

n Q

n+Q

1

n Q

n(1.1.1)

驱动方程:

J

=Q

2

n J

1

=Q

n J

2

=Q

1

n

K

=Q

1

n K

=Q

2

n Q

n K

2

=Q

1

n Q

n

(6)检查能否自启动

将无效态000,011代入式(1.1.1)进行计算,结果如下:

101(有效态)

1.1.4设计的逻辑电路图

X1X2X3

2.5 V 1.1.5设计的电路原理图

Y

X1

X2

X3

1.1.6实验仪器

两个74LS112芯片,一个74LS00芯片,一个74LS08芯片

1.1.7实验结论(分析实验中出现的故障及产生的原因)

经过试验可知,满足时序图的变化,切不能自启动

实验过程中没有错误,顺利完成实验

1.2串型数据检测器

1.2.1课程设计的目的

(1)进一步了解和掌握同步时序电路的基本设计方法。

(2)了解序列检测器的工作原理及设计方法。

1.2.2设计的总体框图

1.2.3设计过程

(1)原始状态图

(2)进行状态化简,画最简状态图

○1确定等价状态

仔细检查可以发现,S4和S3是等价的。因为无论是在状态S2还是状态S3,当输入为1时输出均为1,且都转换到次态S0;当输入为0时输出均为0,且都转换到次态S1。

○2合并等价状态

把S3和S4合并起来,且用S3表示。下图是经化简后得到的最简状态图。

(3)进行状态分配,画出用二进制数编码后得状态图

(4)选择触发器,求时钟方程,输出方程和状态方程。

○1选用两个CP 下降沿触发的边沿JK 触发器。 ○

2时钟方程 CP 0=CP 1=CP ○

3求输出方程

Y 的卡诺图 由此知: Y=XQ 1n Q 0n ○

4

Q 1n+1Q 0n+1的次态卡诺图

X X Q 1n Q 0n

Q 1n Q 0n

Q 1n+1的次态卡诺图

Q 0n+1的次态卡诺图

有卡诺图得状态方程为: Q 1n+1=X Q 0n Q 1n + Q 1n Q 0n

Q 0n+1=X Q 0n +XQ 0n

驱动方程为:

J 0=X J 1=XQ 0n

K 0=X K 1=Q 0n

1.2.4设计的逻辑电路图

00 01 11 10

0 0 1 1 0 1 0 0 1 0

00 01 11 10 0 1 1 1 1

1 0 0 0 0

1.2.5设计的电路原理图

1.2.6实验仪器

一个74LS112芯片,一个74LS04芯片,一个74LS08芯片,一个74LS11芯片

1.2.7实验结论(分析实验中出现的故障及产生的原因)

实验过程中没有出现什么问题,能实现对0011序列的检测。

1.3参考文献

余孟尝.数字电子技术基础简明教程.三版.北京:高等教育出版社,2006

张丽萍,王向磊.数字逻辑实验指导书.信息学院数字逻辑实验室.

余孟尝.数字电子技术基础简明教程同步辅导及习题全解.三版.中国矿业大学出版社.

2 模拟电子设计部分

2.1 课程设计的目的与作用

(1)熟悉电子元器件和multisim仿真软件的运用;

(2)掌握单管共射放大电路的工作原理,静态分析和电压放大倍数,输入输出电阻的测量及理论计算;

(3)掌握分压式工作点稳定电路的工作原理,静态分析和电压放大倍数,输入输出电阻的测量及理论计算;

2.2 设计任务、及所用multisim软件环境介绍

2.2.1设计任务

(1)单管共射放大电路的multisim的仿真,测量静态工作点、观察输入输出波形、测量电压放大倍数、输入输出电阻;

(2)分压式工作点稳定电路multisim仿真,测量静态工作点、动态分析

2.2.2所用multisim软件环境介绍

Multisim是加拿大图像交互技术公司(Interactive Image Technoligics简称IIT 公司)推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim 和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程

NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabVIEW 和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。

2.3 单管共射放大电路Multisim

2.3.1电路模型的建立

(a)仿真电路

(b)用虚拟仪表测量Q点的电路

2.3.2理论分析及计算

(1)静态分析

I

BQ =(V

CC

-U

BE

)/R

b

=(12V-0.7V)/280KΩ=40.36μA

I

CQ =ΒI

BQ

=50X40.36μA=2.018mA

U

CEQ =V

CC

-I

CQ

XR

C

=12V-2.018mAX3KΩ=5.946V

(2)动态分析

a)电压放大倍数

r

be =r

bb’

+(1+β)26/I

EQ

=300+(1+50)26/2.018=0.957KΩ

A

U =-β(R

C

//R

L

)/r

be

=-50X1.5/0.957=-78.4

b)输入电阻

R

i =R

be

//R

b

=0.957X280/(0.957+280)=953.7Ω

c)输出电阻

R

O =R

C

=3KΩ

2.3.3仿真结果及分析(1)测量静态工作点

电路仿真后,可测得I

=40.54754μA,

BQ

=2.32340mA;

I

CQ

=5.02981V

U

CEQ

(2)观察输入输出波形

单管共射发表放大电路电路仿真后,可从虚拟示波器观察到U I、U O的波形如上图所示。图中颜色较浅的是U O的波形,颜色较深的是U i的波形,由图可见,U O的波形没有线性失真,而且U O和U i相位相反。

(3)测量电压放大倍数,输入输出电阻

电压放大倍数A U=U O/U i=-855.888/9.998=-85.606

输入电阻R

i =U

i

/I

i

=9.998/10.214KΩ=978.853

Ω

输出电阻R

O =(U

O

,/ U O-1)R

L

=(1.374/0.855888-1)X3KΩ=1.82K

(4)动态分析波特图

a)输入的波特图

B)输出波特图

2.4 分压式工作点稳定电路Multisim的仿真 2.4.1电路模型的建立

2.4.2理论分析及计算(1)静态分析

U

BQ =R

b1

/(R

b1

+R

b2

)V

CC

=2.5/(2.5+7.5)X12V=3V

I

EQ =(U

BQ

-U

BEQ

)/R

e

=(3-0.7)/1Ma=2.3mA=I

CQ

U

CEQ =V

CC

-I

CQ

(R

C

+R

e

)=[12-2.3X(2+1)]V=5.1V

I

BQ =I

CQ

/β=2.3/30mA=77μA

(2)动态分析

r

be = r

bb’

+(1+β)26/I

EQ

=300+(1+50)26/2.3Ω=650Ω

A

U =-βR

L

,=-30X1/0.65=-46.2

R

I = r

be

// R

b1

// R

b2

=483Ω

R

O =R

C

=2KΩ

2.4.3仿真结果及分析(1)静态仿真

由仿真电路可得:U

BQ

=2.89191V

U

CQ =7.65475V; U

EQ

=2.23027V

I

BQ =58.57326μA;I

CQ

=2.20964mA

(2)动态分析

A

=-226.605/4.999=-45.33 U

=4.999/9.296KΩ

R

I

(3)输入输出波形图

分压式放大电路电路仿真后,可从虚拟示波器观察到U I、U O的波形如上图所示。图中颜色较浅的是U O的波形,颜色较深的是U i的波形,由图可见,U O的波形没有线性失真,而且U O和U i相位相反。

(4)输入输出波特图

颜色深的为输入的波特图,颜色较浅的为输出波特图

数字电子技术课程设计报告

一、设计任务及要求 通过对【数字电子技术】课程的学习,让同学掌握【数字电子技术】课程的根本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分表达这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时〞,“分〞,“秒〞。二、设计的作用、目的 〔1〕.在同学掌握【数字电子技术】课程的根本理论以及方法的根底上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 〔2〕.掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步稳固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 〔3〕. 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 〔4〕. 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器〔其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成〕、动态显示译码器、LED数码管显示环节、555定时器〔可以提供一个比拟精确的1Hz的时钟脉冲〕,时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电模电电子技术课程设计

数电模电电子技术课程设计 数电模电电子技术课程设计是电子信息类专业的必修课程之一,主要涵盖数字电路、模拟电路和电子技术三个方面的基础知识和应用技能。在课程设计中,学生需要利用所学知识和技能,独立完成一个完整的电子电路设计项目。 一、课程设计的基本要求 1.项目选题清晰:学生需要选择一个明确的电子电路设计 主题,确保自己能够对该项目进行全面的调查和研究,达到独立设计和开发的水平。 2.设计思路明确:学生需要结合所学知识和技能,合理分 析和解决电路设计中的问题,找到切实可行的设计方案。 3.设计报告规范:学生需要编写完整的设计报告,包括对 设计思路、参数计算、电路图纸和实验结果等方面的详细阐述,确保设计过程和结果能够得到清晰和完整的记录。 4.实验结果可靠:学生需要按照设计报告中的实验流程和 步骤,精确配备实验器材,进行实验操作和数据采集,确保实验数据的准确和可靠性。 二、数电模电电子技术课程设计的主要内容 1.数字电路设计项目 数字电路设计项目通常涵盖基本逻辑电路设计、组合逻辑电路设计和时序逻辑电路设计。学生需要选择一个适合自己的

设计主题,分析和解决电路设计中的问题,实现一个完整的数字电路设计方案。 例如,可以选择设计电子计数器、时钟电路、跳变电压检测器等数字电路,同时掌握数字电路的基本设计流程和设计方法。 2.模拟电路设计项目 模拟电路设计项目通常涵盖基本电路设计、放大电路设计和滤波器设计。学生需要根据自己的设计主题,结合所学理论和实践技能,独立完成一个完整的模拟电路设计项目。 例如,可以选择设计放大器电路、反馈电路、滤波器等模拟电路设计项目,并通过实验验证自己的设计方案的正确性和实用性。 3.电子技术应用设计项目 电子技术应用设计项目通常涵盖数字电路、模拟电路和系统电路三个方面,通过综合应用不同的电子技术,实现一个完整的电子产品设计方案。 例如,可以选择一个硬件调试系统、智能家居系统、电子商务平台等电子技术应用设计项目,结合实验操作和数据分析,实现电子产品的完整设计和开发。 三、课程设计的意义与价值 1.加深学生对电子电路设计的认识:通过课程设计,学生 可以对电子电路设计的理论和实践知识有更深入的认识和了解,能够更加全面和深入地掌握相关知识和技能。

六进制计数器

六进制计数器 计数器的分类: 按功能分有:加法计数器(每输入一个脉冲,就进行一次加1运算)、减法计数器(每输入一个脉冲,就进行一次减1运算)和可逆计数器(既具有加法又有减法); 按计数脉冲作用方式分有:同步计数器(各触发器的状态变换与时钟脉冲同步)、异步计数器(它们触发器状态的变换有先有后); 按数制分有:二进制计数器(进制数N=2n , n 为二进制数的位数)、十进制计数器(用四位二进制数来代表十进制数的每一位数,即二-十进制计数器)和N (任意)进制计数器( 、10)。 六进制计数器属于N=6的任意进制计数器,较简单,便于初学者学习。下面具体分析异步六进制加法计数器的工作过程。 如图所示为由3个JK 触发器组成异步六进制加法计数器逻辑图。计数脉冲CP 从最低位触发器的时钟端加入,3个触发器F 0、F 1、F 2的置零端并联连接。 工作原理:由CR 引入清零负脉冲,置计数器初态000012=Q Q Q 。CP 1作用后,F 0翻转,0Q 由0变为1,F 1、F 2状态不变,计数器输出001012=Q Q Q 。CP 2作用后,F 0翻转,0Q 由1变为0,0Q 的这一负跳变同时加到F 1、F 2,触发F 1翻转,1Q 由0变为1;因F 2J 即与门输出,此时与门两输入端中与1Q 相连一端为0,J =0,K =1,故F 2仍为0态,计数器输出010012=Q Q Q 。CP 3作用后,F 0翻转,0Q 由0变为1,F 1、F 2状态不变,计数器输出011012=Q Q Q 。CP 4作用后,F 0翻转,0Q 由1变为0,F 1也翻转,1Q 由1变为0,F 2因此时与门两输入端都是1,1=J ,1=K ,也同时翻转,2Q 由0变为1,计数器输出100012=Q Q Q 。CP 5作用后,F 0翻转,0Q 由0变为1,F 1、F 2状态不变,计数器输出101012=Q Q Q 。CP 6作用后,F 0翻转,0Q =0,送出由1到0的负脉冲,但此时由于F 2输出端02=Q 的低电平接在F 1J 将F 1封锁,故F 1为0态不变,01=Q ;F 2因与门两输入端都为0,0=J ,1=K ,其输出同J ,02=Q ,计数器输出000012=Q Q Q ,返回初态,输出一进位脉冲,完成异步六进制加法计数过程。异步六进制加法计数器状态表如下 :

数电实验加法器设计

实验10 加法计数器的设计 (基于VHDL的实现) 一、实验目的 1 、了解可编程数字系统设计的流程 2 、掌握Quartus II 软件的使用方法 3、掌握VHDL输入方式设计数字系统的方法和流程 4、熟练掌握加法计数器的设计方法 二、实验设备 1、计算机:Quartus II 软件 2、Altera DE0 多媒体开发平台 三、实验内容 1、加法计数器的设计:含有异步清0和同步时钟使能的10进制加法计数器使用VHDL输入方式完成设计,在Quartus II 上进行编辑、编译、综合、适配和仿真; 2、引脚锁定及硬件测试。 四、实验结果 原理概述: 当RST清零端为1时,计数器清零。当RST=1时,计数器开始计数; 当遇到CLK为上升沿时,并且当使能端EN=1时,计数器累加1; 当使能端EN=0时,计数器不加;当清零端为1时,计数器再次清零。 如此持续,使得该加法计算器能够保持运行。 1、本次实验所用到的代码: LIBRARY IEEE; --- IEEE库声明 USE IEEE.STD_LOGIC_1164.ALL; --- 程序包说明,STD_LOGIC在该程序包中定义 USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT10 IS ---实体定义开始 PORT(CLK,EN,RESET:IN STD_LOGIC; COUT:OUT STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); ---定义输入端口及数据类型

LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ---定义输出端口及数据类型); END CNT10; ARCHITECTURE BEHA V OF CNT10 IS SIGNAL CQI:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN PROCESS(CLK,EN,RESET) BEGIN IF( RESET='0' )THEN QQ<="0000"; ELSIF (CLK'EVENT AND CLK='1') THEN IF CQI="1001" THEN CQI<="0000"; ELSE CQI<=CQI+'1'; END IF; END IF; END PROCESS ; CQ<=CQI; PROCESS( CQI ) BEGIN IF CQI="1001" THEN COUT<='1'; ELSE COUT<='0'; END IF; END PROCESS ; PROCESS (CQI) BEGIN CASE CQI IS CASE语句 WHEN "0000"=>LED7S<="1000000"; WHEN "0001"=>LED7S<="1111001"; WHEN "0010"=>LED7S<="0100100"; WHEN "0011"=>LED7S<="0110000"; WHEN "0100"=>LED7S<="0011001"; WHEN "0101"=>LED7S<="0010010"; WHEN "0110"=>LED7S<="0000010"; WHEN "0111"=>LED7S<="1111000"; WHEN "1000"=>LED7S<="0000000"; WHEN "1001"=>LED7S<="0010000"; WHEN "1010"=>LED7S<="0001000"; WHEN "1011"=>LED7S<="0000011"; WHEN "1100"=>LED7S<="1000110"; WHEN "1101"=>LED7S<="0100001"; WHEN "1110"=>LED7S<="0000110"; WHEN "1111"=>LED7S<="0001110"; WHEN OTHERS=>NULL; ---不执行任何操作

数电模电课设

摘要 数字电子技术在当今社会在当今社会扮演着越来越重要的角色,学好数字电子技术也是自动化专业的当务之急。所以,通过本次课程设计,加强了我们对数字电子技术的理论理解,锻炼了我们的动手操作能力,丰富了我你们的课余生活。 本次课程设计的任务是:三位二进制同步加法计数器(010 111);序列信号发生器(010100)。本次课程设计很好的将书本知识与现代仿真技术相结合,通过本次电子课程设计对书本上的知识有了更深一层的了解和更好的掌握。 针对电子课程设计的特点,本次采用了加拿大的Multisim仿真软件,既能提高学生对理论课程的掌握及提高解决实际问题的能力,又能为课堂教学改革以及教学制度的变更增添活力。 所谓同步加法计数器就是但输入计数脉冲到来时,需要更新状态的触发器都是同时翻转的,来完成加计数功能;序列信号发生器就是但输入不同信号时,呈现出不同的数字的电路。 通过整个设计过程,我更加熟练的掌握了Multisim软件的使用,并且从每个元件入手,一步一步去做,从一次次的失败中积累经验,最后成功,体会很深。通过此软件,完成了从理论到原理图捕获与仿真,再到原型设计和测试这样一个完整的综合设计过程。掌握分析,设计和连接电路图更加重要,也许等我们将来工作的时候,就和电路有关,所以想在也是为将来做个铺垫。学会分析处理,学会仿真,会给将来工作带来方便。 关键词:同步;计数器;序列信号发生器

目录 数字电子设计部分 摘要………………………………………………………………………….………….Ⅰ. 1 三位二进制同步加法计数器 1.1课程设计目的及要求 (1) 1.1.1 课程设计的目的 (1) 1.1.2 课程设计的要求 (1) 1.2六进制同步加法计数器(无效状态为010 111) (1) 1.2.1基本原理 (1) 1.2.2设计过程 (2) 1状态图 (2) 2 卡诺图 (2) 3特性方程,驱动方程 (3) 1.2.3设计电路图…………………………………………………………………...3. 1.2.4最后结果 (4) 2 序列信号发生器(010100) (8) 2.1基本原理 (8) 2.2 元器件选择 (8) 2.3设计电路图 (8) 2.3.1特性表 (8) 2.3.2输出方程 (8) 2.4 设计电路图 (9) 2.5最后结果 (9) 3 设计总结和体会 (13) 4 参考文献 (14)

同步递增六进制计数器d触发器 -回复

同步递增六进制计数器d触发器-回复 同步递增六进制计数器D触发器 在数字电路设计中,计数器是非常常见且重要的电子元件。计数器可以被用于各种应用,例如时钟、信号分析、计时器等等。其中一种常见的计数器是同步递增六进制计数器D触发器。本文将一步一步地介绍这个计数器的工作原理和实现方式。 1. 了解二进制和六进制 在开始介绍计数器之前,我们首先要了解二进制和六进制的概念。二进制是一种由0和1组成的数字系统,而六进制是一种由0到5的数字组成的数字系统。六进制比二进制更加紧凑,因为一个六进制数位可以表示从0到5的6个不同的值,而一个二进制数位只能表示0或1。 2. 理解触发器 触发器是一种用于存储和处理数字信号的电子元件。D触发器是最简单也是最常用的一种触发器。它有两个输入端(D和时钟)和两个输出端(Q 和Q’)。D输入端用于输入数据,而时钟输入端用于控制触发器的时序。D触发器在时钟信号上升沿或下降沿时将D输入的值传递给输出Q。 3. 实现同步递增计数器 同步递增六进制计数器可以通过串联多个D触发器来实现。每个D触发

器都负责存储计数器中的一个六进制数位。首先,我们将六进制数位的个数设定为4(能表示的最大数为5555)。因此,我们需要使用4个D触发器来实现该计数器。 首先,第一个D触发器(最低位)接收到时钟信号和递增信号(INCR)。递增信号是一个二进制信号,用于控制计数器的加一操作。当递增信号为1时,计数器就会加一;当递增信号为0时,计数器将不做任何操作。 接下来,我们将第一个D触发器的输出(Q)与第二个D触发器的D输入相连。这样,当第一个触发器触发时,第二个触发器的D输入就会被第一个触发器的输出值控制。 我们按照同样的方式,将每个下一位的D触发器的D输入与上一个触发器的输出相连。这样,当上一个触发器触发时,下一个触发器的D输入就会被上一个触发器的输出值控制。 最后,将最高位(最后一个D触发器)的输出(Q)与一个递增信号发生器相连,以便控制整个计数器的递增操作。当最高位的输出值超过5时,递增信号发生器就会使计数器的最高位数归0,同时将下一位的D触发器的输出值加一。 4. 结论

模电课程设计_8

数字电子钟计时系统 数字钟是一种用数字电路技术实现时、分、秒计时的装置,和我们平时所用的机械式时钟相比,具有更高的准确性和直观性。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。在设计数字钟时,首先要了解数字钟的原理,从而学会制作数字钟。在制作数字钟的工程中进一步了解各种在制作中用到的中小规模集成电路的作用及实用方法。因为数字钟包括组合逻辑电路和时序电路,可以我们学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 课程设计报告内容 1.设计任务与基本要求 1.1 设计任务 1.2 基本要求 2.设计方案 2.1 工作原理 2.2 原理方框图 3.单位电路模块设计 3.1 秒信号发生器 振荡器、分频器 3.2 秒、分计数器——60 进制计数器 3.3 时计数器——24 进制计数器 3.4 译码显示电路 3.5 校时电路 4.调试要点 4.1 标准信号源调试 4.2 时、分、秒及显示电路的调试 4.3 校时电路的测试 5.课程设计体会 附元器件材料清单 1.设计任务与基本要求 1.1 设计任务 根据所学的知识,用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟 1.2 基本要求 ①采用LED 显示累计时间“时”、“分”、“秒”。 ②当电路发生走时误差时,具有校时功能。 2.设计原理 2.1 工作原理 数字电子钟电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译 码器及显示器、校时电路等组成。秒信号发生器是整个数字电子钟的核心部分,它的精度和稳定度

决定了计时钟计的质量,通常用晶体振荡器产生的脉冲经过整形、分频获得1HZ的秒脉冲。将标准秒信号送入“秒计数器”“秒计数器”采用六十进制计数器每累计60 秒发出一个“分脉冲”信号该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用六十进制计数器每累计60 分钟发出一个“时脉冲”信号该信号将被送到“时计数器”。“时计数器”采用24 进制计数器可实现对一天24 小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态经七段显示译码器译码通过六位LED 七段显示器显示出来。校时电路是用来对“时”、“分”、“显示数字进行校对调整的。本设计用中、、分、秒的数字电子钟,使其完成一下几项基本功能显示累计时间“时”、“分”、“秒”,具有校时功能,可以分别对时及分进行单独校时使其校正到标准时间。 2.2 原理方框图 数字电子钟的原理方框图如下图所示,由图知该电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译码器及显示器、校时电路等组成。 图1 数字电子钟系统图 3.单位电路模块设计 3.1 秒信号发生器

电子技术基础实验课程设计-用74LS161设计六十进制计数器

电子技术基础实验 课程设计 用74LS161设计六十进制计数器 学院:班级:姓名:学号:电气工程学院电自1418

用74LS161设计六十进制计数器 摘要 计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。目前,无论是TTL还是CMOS 集成电路,都有品种较齐全的中规模集成计数器。使用者只要借助于器件手册提供的功能和工作波形图以及引出端的排列,就能正确运用这些器件。计数器在现代社会中用途中十分广泛,在工业生产、各种和记数有关电子产品。如定时器,报警器、时钟电路中都有广泛用途。在配合各种显示器件的情况下实现实时监控,扩展更多功能。 利用两片74LS161分别作为六十进制计数器的高位和低位,分别与数码管连接。把其中的一个通过一个与门器件构成一个十进制计数器,另一个芯片构成六进制计数器。十进制计数器(个位)和六进制计数器(十位)均采用反馈清零法利用两个74LS161构成。当个位计数器从1001计数到0000时,十位计数器要计数一次,可通过两芯片之间级联实现。使用200HZ时钟信号作为计数器的时钟脉冲。根据设计基理可知,计数器初值为00,按递增方式计数,增到59时,再自动返回到00。 关键字:60进制,计数器,74LS161,级联

目录 第1章概述 (1) 1.1 计数器设计目的 (1) 1.2 计数器设计组成 (1) 第2章六十进制计数器设计描述 (2) 2.1 74LS161的功能 (2) 2.2 方案框架 (3) 第3章六十进制计数器的设计与仿真 (4) 3.1 基本电路分析设计 (4) 3.2 计数器电路的仿真 (6) 第4章总结 (8)

数电加减法电路

目录 摘要........................................................................ I Abstract ................................................................... II 1芯片介绍 (1) 1.1 74LS283芯片 (1) 1.2 74LS194芯片 (1) 2理论分析 (2) 2.1寄存器的选择 (2) 2.2 CP脉冲信号的产生 (2) 2.2电路结构图 (2) 2.3电路设计 (3) 2.4 总电路图 (5) 3电路仿真 (7) 4电路实物焊接 (8) 5心得与体会 (9) 参考文献 (10)

摘要 数字电子技术是电信专业的必修基础课程之一,数电从基本入手,讲述了数字电路的基本知识,以及如何分析数字电路和设计数字电路的基本理论和基本方法。数字电路系统多由逻辑门电路,加法器,比较器,计数器,译码器,移位寄存器,锁存器等一个或者多个组成,本次课程设计需要设计一个全加减法器,通过74LS283以及74LS194和一些异或逻辑门电路即可实现,然后可利用multism软件进行仿真实现。根据电路图和给定的原件参数,使用multism软件模拟电路,并对其进行静态分析,动态分析,计算数据等操作。 关键字:加法器,异或门,multism。

Abstract Digital electronic technology is one of the compulsory basic courses in Telecom, a few basics about basic knowledge of digital circuit, as well as how to analyze digital circuits and digital circuits design of the basic theories and methods. Digital circuit logic gate circuits, Adders, comparators, counters, decoders, shift registers, consists of one or more such as latch, this curriculum design need to design an addition and subtraction, by 74LS283 and 74LS194 and XOR logic gate circuits can be realized, can then use multism software simulation. According to the circuit diagram and original of the given parameter, use multism software analog circuits, and static analysis, dynamic analysis, calculations, and so on. Keywords:Adder, exclusive-or gate, multism.

同步计数器的设计实验报告

同步计数器的设计实验报告 同步计数器的设计实验报告篇一:实验六同步计数器的设计实验报告 实验六同步计数器的设计 学号: 姓名: 一、实验目的和要求 1.熟悉JK触发器的逻辑功能。 2.掌握用JK触发器设计同步计数器。 二、实验仪器及器件 三、实验预习 1、复习时序逻辑电路设计方法。 ⑴逻辑抽象,得出电路的状态转换图或状态转换表 ①分析给定的逻辑问题,确定输入变量、输出变量以及电路的状态数。通常都是取原因(或条件)作为输入逻辑变量,取结果作输出逻辑变量。 ②定义输入、输出逻辑状态和每个电路状态的含意,并将电路状态顺序编号。 ③按照题意列出电路的状态转换表或画出电路的状态转换图。通过以上步骤将给定的逻辑问题抽象成时序逻辑函数。 ⑵状态化简 ①等价状态:在相同的输入下有相同的输出,并且转换到同一次态的两个状态。

②合并等价状态,使电路的状态数最少。 ⑶状态分配 ①确定触发器的数目n。因为n个触发器共有2n种状态组合,所以为获得时序电路所需的M个状态,必须取2n1<M2n ②给每个电路状态规定对应的触发器状态组合。 ⑷选定触发器类型,求出电路的状态方程、驱动方程和输出方程 ①根据器件的供应情况与系统中触发器种类尽量少的原则谨慎选择使用的触发器类型。 ②根据状态转换图(或状态转换表)和选定的状态编码、触发器的类型,即可写出电路的状态方程、驱动方程和输出方程。 ⑸根据得到的方程式画出逻辑图 ⑹检查设计的电路能否自启动 ①电路开始工作时通过预置数将电路设置成有效状态的一种。 ②通过修改逻辑设计加以解决。 ⑺设计步骤简图 图3 设计步骤简图 2、按实验内容设计逻辑电路画出逻辑图。设计思路详情见第六部分。电路图如下: 四、实验原理 1.计数器的工作原理

数电 减法计数器

目录 1 三位二进制同步加法计数器(010,111) (1) 1.1课程设计的目的 (2) 1.2设计的总体框图 (2) 1.3设计过程 (2) 1.4逻辑电路图 (4) 1.5实际电路图 (4) 1.6实验仪器 (5) 1.7实验结论 (5) 2 串行序列信号发生器的设计(检测序列010100) (6) 2.1课程设计的目的 (6) 2.2设计的总体框图 (6) 2.3设计过程 (6) 2.4 逻辑电路图 (8) 2.5 实际电路图 (9) 2.6实验仪器 (9) 2.7实验结论 (9) 3 十六进制同步加法计数器(用74LS191集成芯片做) (10) 3.1课程设计的目的 (10) 3.2设计的总体框图 (10) 3.3设计过程 (10) 3.4 74191的状态表 (10) 3.5 芯片介绍 (10) 3.6 逻辑电路图 (11) 3.7实际电路图 (11) 3.8实验仪器 (12) 3.9实验结论 (12) 3.10参考文献 (12)

1 三位二进制同步加法计数器(010,111) 1.1课程设计的目的 1、了解同步加法计数器工作原理和逻辑功能。 2、掌握计数器电路的分析,设计方法及应用。 3、学会正确使用JK 触发器。 1.2设计的总体框图 CP Y 1.3设计过程 (1)状态图: (2)选择的触发器名称: 选用三个CP 下降沿触发的边沿JK 触发器 (3)输出方程: Y= n Q 2n Q 1Q 0n (4) 状态方程: 图1.1.1 3位二进制同步加法计数器的次态卡诺图

、 图1.1.3 Q 1n+1 的卡诺图 图1.1.4 Q 0n+1的卡诺图 由卡诺图得出状态方程为: 1 2+n Q = n Q 1Q 2n +n Q 1n Q 2 11 +n Q = Q 0n n Q 1 1 0+n Q =n Q 1n Q 0+n Q 2n Q 1Q 0n (5) 驱动方程:

模电课程设计加减法电路.

1 设计任务描述 1.1 设计题目:加法运算电路 1.2 设计要求 1.2.1 设计目的 (1)学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计 步聚,培养综合设计与调试能力; (2)学会利用运算放大器实现加减法电路; (3)学会直流稳压电源的设计方法和性能指标测试方法; (4)培养实践技能,提高分析和解决实际问题的能力。 1.2.2 基本要求 (1)利用两级运算放大器实现321o 42i i i u u u u ++= (2)设计电路所需的直流稳压电源,要求包括整流、滤波、稳压。 1.2.3 发挥部分 (1)由于同相加法电路存在共模电压,将造成几个输入信号之间的互相影响,所以本次设计我选用两级运放反相输入,在第一级运用反相输入的求和电路,在第二级采用双端输入式,从而实现课设要求的输出与输入的线性关系。 (2)在线性直流电源中,将普通的电容滤波更改为两个电容与一个电阻的π型滤波电路,增加对交流分量的滤除。 (3)在线性直流电源中,将一般的稳压电路改为固定式三端集成稳压器工作。

2 设计思路 本次设计的课题是加法运算电路,其“加法”的含义是实现输出与输入的线性关系。本次设计还要求设计为运算电路提供电源的线性直流稳压电源。 首先这次设计的重点是加法运算电路,我需要设计一个电路使得其输出电压与输入电压满足表达式。为满足这一线性关系,我选用两级放大来实现。经过一个学期的学习,我大致了解关于集成运算放大器的工作原理,而这次设计主要是关于运放的线性应用。首先第一级放大电路中,由于同相输入存在共模电压,会造成几个输入信号之间的互相影响。而反相输入式放大电路中,根据虚断的概念,同相位输入端的电位为零,相当于与地等电位,即“虚地”。这样可保证运放输入端无共模信号。在第一级运算放大器的反相端输入施加两个电压信号,从而达到两个输入电压与第一级运放的输出电压之间的线性关系。然后将这一输出加到第二级运放的反相端,同时在第二级运放的同相端加入第三个信号源,实现双端输入式放大电路,这种电路的的特点是输入电阻大、输出电阻小。最后实现最终的输出电压与三个输入电压信号成一定的线性关系。 一个电路要想稳定的工作,一定需要用稳定的直流电源进行供电。直流电压通常是从交流电网中转换获得,由于电网电压的波动,负载电流的变化,以及温度等环境因素的改变,往往使得直流电压不稳定。而直流电源的功能就是将交流电网电压转换成稳定的直流电压。电流稳压电源一般由变压器、整流、滤波和稳压电路等四部分组成。首先选择一个常用的交流电源,利用变压器降压。然后通过二级管组成的单相桥式整流电路将经过变压器降压的交流电整流。将普通的电容滤波更改为两个电容与一个电阻的π型滤波电路,增加对交流分量的滤除滤波。最后的稳压部分,我选用固定三端式稳压器。 两部分电路都设计好后,用multisim分别试调,改正后。将线性直流稳压电路施加在运算电路两端,使电路正常运行。

数电模电课程设计--六进制同步加法计数器

数电模电课程设计--六进制同步加法计数器

目录 1 数字电子设计部分......................................... 错误!未定义书签。 1.1六进制同步加法计数器 1.1.1课程设计的目的 1.1.2设计的总体框图 1.1.3设计过程 1.1.4设计的逻辑电路图 1.1.5设计的电路原理图 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.1.6实验仪器 1.1.7实验结论(分析实验中出现的故障及产生的原因 1.2串型数据检测器 1.2.1课程设计的目的 1.2.2设计的总体框图 1.2.3设计过程 1.2.4设计的逻辑电路图 1.2.5设计的电路原理图 1.2.6实验仪器 1.2.7实验结论(分析实验中出现的故障及产生的原因) 1.3参考文献

2 模拟电子设计部分......................................... 错误!未定义书签。 2.1 课程设计的目的与作用............................. 错误!未定义书签。 2.1.1课程设计 ................................ 错误!未定义书签。 2.2 设计任务、及所用multisim软件环境介绍............ 错误!未定义书签。 2.3 电路模型的建立................................... 错误!未定义书签。 2.4 理论分析及计算................................... 错误!未定义书签。 2.5 仿真结果分析..................................... 错误!未定义书签。 2.6 设计总结和体会................................... 错误!未定义书签。 2.7 参考文献......................................... 错误!未定义书签。

数电及模电课程设计

前言 Multisim软件环境介绍 Multisim是加拿大IIT公司(Interrative Image Technologies Ltd)推出的基于Windows的电路仿真软件,由于采用交互式的界面,比较直观、操作方便,具有丰富的元器件库和品种繁多的虚拟仪器,以及强大的分析功能等特点,因而得到了广泛的引用。 针对不同的用户,提供了多种版本,例如学生版、教育版、个人版、专业版和超级专业版。其中教育版适合高校的教学用。 Multisim 7主界面。启动Multisim,就会看到其主界面,主要是由菜单栏、系统工具栏、设计工具栏、元件工具栏、仪器工具栏使用中元件列表、仿真开关、状态栏以及电路图编辑窗口等组成。如下图A所示。 Multisim 7提供了丰富的元器件。这些元器件按照不同的类型和种类分别存放在若干个分类库中。这些元件包括现实元件和虚拟元件。所谓的现实元件给出了具体的型号,它们的模型数据根据该型号元件参数的典型值确定。而所谓的虚拟元件没有型号,它的模型参数是根据这种元件各种元件各种型号参数的典型值,而不是某一种特定型号的参数典型值确定。另外,Multisim 7元件库中还提供一种3D虚拟元件,这种元件以三维的方式显示,比较形象、直观.。Multisim 7容许用户根据自己的需要创建新的元器件,存放在用户元器件库中。路B图所示。

A图 Multisim 7提供了品种繁多、方便实用的虚拟仪器。比如数字万用表、信号发生器、示波器等17种虚拟仪器。点击主界面中仪表栏的相应的按钮即可方便地取用所需的虚拟仪器如C图所示。 B图 C图 Multisim 7提供了各种不同功能的分析工具。点击分析按钮,即可拉出分析菜单,其中列出了Multisim 7的各种分析工具,例如直流工作点分析、交流分析、瞬态分析等。 课程设计任务书 学院专业 学生姓名学号

同步递增六进制计数器d触发器 -回复

同步递增六进制计数器d触发器-回复 一、引言(100字) 在数字电路中,计数器是一种常见的电子元件,用于计量和记录输入脉冲的数量。六进制计数器是一种特殊的计数器,其计数范围为0-5,通过D 触发器和同步递增方式实现计数。本文将详细介绍六进制计数器的工作原理、设计步骤和应用场景,以帮助读者更好地理解和应用该计数器。 二、六进制计数器的工作原理(200字) 六进制计数器由若干个D触发器和逻辑门构成。D触发器是一种基本的存储元件,通过时钟信号的作用,在每个时钟脉冲到来时将输入数据存储到输出端。六进制计数器采用同步递增的方式,即在每个时钟脉冲到来时,将当前计数值加1,并将结果作为触发器的输入信号。同时,通过逻辑门的控制,实现了六进制计数器的循环。 三、六进制计数器的设计步骤(600字) 1. 确定计数范围:确定计数器的范围非常重要。六进制计数器的范围为0-5,因此需要确定所需的D触发器数量。通常情况下,每个触发器可以存储一位二进制数,因此需要至少3个D触发器来实现六进制计数器。 2. 确定逻辑门的类型:根据设计需求和计数器规模,确定所需逻辑门的类型。常用的逻辑门有与门、或门和非门,可以根据实际需要选择适合的逻辑门。

3. 构建触发器和逻辑门的电路图:根据确定的计数器范围和所需逻辑门的类型,绘制触发器和逻辑门的电路图。按照数据流的方向连接各个触发器,并使用逻辑门实现计数器的循环。 4. 配置触发器输入:配置D触发器的输入,使其按照所需的计数规则进行计数。根据六进制计数器的规则,D触发器的输入应该依次为011、100、101、110、111、000。通过设置输入端的开关状态或信号控制,实现对六进制计数器的控制。 5. 确定时钟信号:通过时钟信号来控制六进制计数器的计数过程。时钟信号的频率和稳定性对计数器的精度和稳定性有重要影响,需要根据实际需要确定合适的时钟信号。 6. 搭建实验电路和进行测试:根据电路图连接实验电路,并使用示波器等工具进行测试。通过检查示波器上的波形和数值,确认六进制计数器的工作状态和计数准确性。 四、六进制计数器的应用场景(400字) 1. 时钟应用:六进制计数器可以用于制作时钟,通过适当的电路调整时钟信号的频率和精确度,实现精确的计时功能。特别是在数字时钟等需要具备持续计数功能的设备中,六进制计数器具有较高的应用价值。

电子技术基础试题及答案10套

电子技术基础试题(八) 一、填空题(每题3分,共30分) 1、PN结具有单向导电特性性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_增大_。 3、射极输出器放在中间级是兼用它的输入电阻大和输出电阻小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s 相等时,负载获得的功率最大,这种现象称为阻抗匹配。 5、运算放大器的输出是一种具有深度负反馈高增益的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:甲类功放,乙类功放和甲乙类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放管提供少量偏流I BQ,以减少交越失真。 8、带有放大环节的串联型晶体管稳压电路一般由采样电路、基准电源、比较放大电路和_调整元件_四个部分组成。 9、逻辑代数的三种基本运算是逻辑乘、_逻辑加和_逻辑非_。 10、主从触发器是一种能防止空翻现象的实用触发器。 二、选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( C)。 A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:( A)。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:( B )。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:( A)。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:( C)。 A.有交越失真 B.易产生自激 C.效率低 6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接入电路,这时组合管的稳压值是:( B)。 A.8V B.7.5V C.15.5V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:( A )。 A.在开启时提供很大的正向基极电流,并在关闭时提供很大的反向基极电流 B.在开启时提供很大的反向基极电流 C.隔开直流电压 8.逻辑函数式E F+E F+EF,化简后答案是:( C)。 A.EF B.F E+E F C.E+F

相关文档
最新文档