基于fpga的数字钟的设计--大学毕业设计论文

基于fpga的数字钟的设计--大学毕业设计论文
基于fpga的数字钟的设计--大学毕业设计论文

1 设计的要求

本次设计主要是研究基于FPGA的数字钟,要求是以24小时为周期,显示时、分、秒。采用1HZ的基准脉冲信号产生1S的基准时间,当计数达到60次时,输出1个分钟(min)脉冲;当1min的时钟计数到达60次时,输出1个小时(h)脉冲;若1h的时钟计数达到23次时,并且1min的计数到59次、1s的计数也达到59次,再来1个1s的脉冲,数字钟就自己复位,重新从零开始计时。为了保证计时的稳定及准确须由晶体振荡器提供时间基准信号。

该系统是基于FPGA的设计,采用VHDL进行系统功能的描述,采用自顶向下的设计方法,用QUARTUS II软件进行仿真。

2 设计的基本原理

振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满59后向分计数器进位,分计数器满59后向小时计数器进位,小时计数器按照“24翻0”规律计数。计满后各计数器清零,重新计数。

一般说来,一个比较大的完整的项目应该采用层次化的描述方法:分为几个较大的模块,定义好各功能模块之间的接口,然后各个模块再细分去具体实现,这就是TOP DOWN(自顶向下)的设计方法。目前这种高层次的设计方法已被广泛采用。高层次设计只是定义系统的行为特征,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工具将高层次描述转换成针对某种工艺优化的网络表,使工艺转化变得轻而易举。CPLD/FPGA系统设计的工作流程如图1所示。

图1 FPGA系统设计流程

流程说明:

1.工程按照“自顶向下”的设计方法进行系统划分。

2.输入VHDL代码,这是设计中最为普遍的输入方式。此外,还可以采用图形输入方式(框图、状态图等),这种输入方式具有直观、容易理解的优点。

3.将以上的设计输入编译成标准的VHDL文件。

4.进行代码级的功能仿真,主要是检验系统功能设计的正确性。这一步骤适用于大型设计,因为对于大型设计来说,在综合前对源代码仿真,就可以大大减少设计重复的次数和时间。一般情况下,这一仿真步骤可略去。

5.利用综合器对VHDL源代码进行综合优化处理,生成门级描述的网络表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品系列进行的,所以综合的过程要在相应的厂家综合库的支持下才能完成。

6.利用产生的网络表文件进行适配前的时序仿真,仿真过程不涉及具体器件的硬件特性,是较为粗略的。一般的设计,也可略去这一步骤。

7.利用适配器将综合后的网络表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化和布局布线。

8.在适配完成后,产生多项设计结果:(a)适配报告,包括芯片内部资源利用情况,设计的布尔方程描述情况等;(b)适配后的仿真模型;(c)器件编程文件。根据适配后的仿真模型,可以进行适配后时序仿真,因为已经得到器件的实际硬件特性(如时延特性),所以仿真结果能比较精确的预期未来芯片的实际性能。如果仿真结果达不到设计要求,就修改VHDL源代码或选择不同速度和品质的器件,直至满足设计要求。

最后将适配器产生的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。

3 设计方案

3.1 设计思路

本次设计采用分模块设计的方法,再用一个顶层文件将各模块联系起来,如下图2所示。

图2 数字钟模块图

3.2 各模块的模块图与功能

分频器模块

晶体振荡器是构成数字式时钟的核心,振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,它保证了时钟的走时准确及稳定。

石英晶体的选频特性非常好,只有某一频率点的信号可以通过它,其它频率段的信号均会被它所衰减,而且,振荡信号的频率与振荡电路中的R 、C 元件的数值无关。因此,这种振荡电路输出的是准确度极高的信号。然后再利用分频电路,将其输出信号转变为秒信号,其组成框图如下图3所示。

图3 秒信号产生框图

本系统使用的晶体振荡器电路给数字钟提供一个频率稳定准确的12MHz 的方波信号,其输出至分频电路。分频电路的逻辑框图如下图4所示。

图4 分频电路逻辑框图

六进制计数模块

对秒和分的十位进行计数,计数满六便变为0,产生进位。 六进制计数器的逻辑框图如下图5所示。

图5六进制计数器逻辑框图

十进制计数器模块

对秒和分的个位进行计数,计满10便变为0,并产生进位。 十进制计数器逻辑框图如下图6所示。

分频电路

石英晶体 振荡电路

秒信号

图6 十进制计数器逻辑框图二十四进制计数器模块

对时进行计数,计满24便变为0。

二十四进制计数器逻辑框图如下图7所示。

图7 二十四进制计数器逻辑框图译码器模块

将时、分、秒个位的输出译为七段输出。

译码器的逻辑框图如下图8所示。

图8 译码器逻辑框图

顶层文件模块

将各模块连接起来,实现整个时钟功能。

顶层文件的逻辑框图如下图9所示。

图9 顶层文件逻辑框图

LED七段共阴极数码管显示模块

常见的数码管由七个条状和一个点状发光二极管管芯组成,共阴极LED是指笔画显示器各段发光管的阴极是公共的,而阳极是相互隔离的。LED数码管模块如下图10所示。

图10 LED数码管模块图

4 各模块的仿真

4.1 分频器模块仿真图

图11 分频器模块仿真图4.2 六进制计数器模块仿真图

图12 六进制计数器模块仿真图4.3 十进制计数器模块仿真图

图13 十进制计数器模块仿真图4.4 二十四进制计数器模块仿真图

图14 二十四进制计数器模块仿真图4.5 译码器模块仿真图

图15 译码器模块仿真图

4.6 顶层文件模块仿真图

图16 顶层文件模块仿真图4.7 LED七段共阴极数码管显示模块仿真图

图17 数字钟准备状态仿真图

图18 数字钟运行状态仿真图

5 结论与感悟

本次设计实验经过各模块和整体程序的仿真运行,达到了设计的要求。运行数字时钟,首先对1s的时钟进行计数,当计数达到60次时,输出1个分钟(min)脉冲;当1min的时钟计数到达60次时,输出1个小时(h)脉冲;若1h的时钟计数达到23次时,并且1min的计数到59次、1s的计数也达到59次,再来1个1s的脉冲,数字钟就自己复位,重新从零开始计时。

在此次设计中,运用了自顶向下的模块化设计,使程序清晰易懂。虽然程序在设计师具备一定的优点,但是也有着一定的不足,比方说延时问题,这使得数字钟在实际运行时并不十分准确。所以设计并不完美,还需要一定的改善。

此次课程设计查阅了很多与FPGA,VHDL语言等有关的资料,极大的提高了自己的查询与阅读能力,为以后的毕业设计打下一定的基础。在此次的数字钟设计过程中,我更进一步地熟悉有关数字电路的知识和具体应用。学会了利用QuarterII软件进行原理图的绘制,硬件描述语言VHDL的编写,程序的仿真等工作。并能根据仿真结果分析设计的存在的问题和缺陷,从而进行程序的调试和完善,使自己的设计达到要求。在此感谢指导老师对我的问题一一解答,使我的课程设计能够顺利的完成。

本次课程设计圆满完成。

参考文献:

【1】刘爱荣,王振成.EDA技术与CPLD/FPGA开发应用简明教程.清华大学出版社.

【2】陈忠平,高金定,高见芳.基于QuuartusⅡ的FPGA/CPLD设计与实践.电子工业出版社.

【3】刘君,常明,秦娟.基于硬件描述语言(VHDL)的数字时钟设计.天津理工大学报.

【4】王开军,姜宇柏.面向CPLD/FPGA的VHDL设计.机械工业出版社.

【5】杨军,蔡光卉,黄倩,陈成.基于FPGA的数字系统设计与实践.电子工业出版社.

附:各模块程序

分频器程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV IS

PORT(CLK,RESET: IN STD_LOGIC;

F: OUT STD_LOGIC);

END DIV;

ARCHITECTURE ART OF DIV IS

SIGNAL Q: INTEGER RANGE 0 TO 10;

BEGIN

PROCESS(CLK)

BEGIN

IF(CLK'EVENT AND CLK='1') THEN

IF(RESET='0') THEN Q<=0;

ELSIF Q<=4 THEN F<='1';

Q<=Q+1;

ELSIF Q=9 THEN F<='0';

Q<=0;

ELSE F<='0';

Q<=Q+1;

END IF;

END IF;

END PROCESS;

END ART;

六进制计数器程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY COUNTER6 IS

PORT ( CLK : IN STD_LOGIC;

RESET : IN STD_LOGIC;

DIN : IN STD_LOGIC_VECTOR(2 DOWNTO 0);

DOUT : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);

C :OUT STD_LOGIC);

END COUNTER6;

ARCHITECTURE BEHA VIORAL OF COUNTER6 IS

SIGNAL COUNT : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN

DOUT <= COUNT;

PROCESS(CLK,RESET,DIN)

BEGIN

IF RESET= '0' THEN

COUNT <= DIN;

C<='0';

ELSIF RISING_EDGE(CLK) THEN

IF COUNT="101" THEN

COUNT<="000";

C<='1';

ELSE

COUNT<=COUNT+1;

C<='0';

END IF;

END IF;

END PROCESS;

END BEHA VIORAL;

十进制计数器程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY COUNTER10 IS

PORT ( CLK : IN STD_LOGIC;

RESET : IN STD_LOGIC;

DIN : IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

C : OUT STD_LOGIC);

END COUNTER10;

ARCHITECTURE BEHA VIORAL OF COUNTER10 IS SIGNAL COUNT : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

DOUT <= COUNT;

PROCESS(CLK,RESET,DIN)

BEGIN

IF RESET='0'THEN

COUNT <= DIN ;

C<='0';

ELSIF RISING_EDGE(CLK) THEN

IF COUNT = "1001" THEN

COUNT <= "0000";

C<='1';

ELSE

COUNT <= COUNT+1;

C<='0';

END IF;

END IF;

END PROCESS;

END BEHA VIORAL;

二十四进制计数器程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY COUNTER24 IS

PORT ( CLK : IN STD_LOGIC;

RESET : IN STD_LOGIC;

DIN : IN STD_LOGIC_VECTOR(5 DOWNTO 0);

DOUTL : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUTH :OUT STD_LOGIC_VECTOR(1 DOWNTO 0)); END COUNTER24;

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(最新版)基于51单片机汇编语言的数字钟课程设计报告含有闹钟万毕业论文

单片微型计算机课程设计报告 多功能电子数字钟 姓 名 学

教师 许伟敏 电气二班 林卫

目录 一:概述 (1) 二:设计基本原理简介 (2) 三:设计要求及说明 (3) 四:整体设计方案 (4) 系统硬件电路设计 4 系统软件总流程设计模块划分及分析5 6 五:单模块流程设计 (8) 各模块设计概述、流程图模块源程序集合及注释8 13 六:单模块软件测试 (23) 七:系统检测调试 (24) 硬件电路调试 软件部分烧写调试 八:系统优化及拓展 (26) 九:心得体会 (28)

单片微型计算机课程设计 一、概述 基于汇编语言的电子数字钟概述 课程设计题目:电子数字钟 应用知识简介: ● 51 单片机 单片机又称单片微控制器,它不是完成某一个逻辑功能 的芯片,而是把一个计算机系统集成到一个芯片上。作为嵌 入式系统控制核心的单片机具有其体积小、功能全、性价比高等诸多优点。51 系列单片机是国内目前应用最广泛的单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用,51 系列单片机的发展又进入了一个新的阶段。在今后很长一段时间内51 系列单片机仍将占据嵌入式系统产品的中低端市场。 ●汇编语言 汇编语言是一种面向机器的计算机低级编程语言,通常是为特定的计算机或系列计算机专门设计的。汇编语言保持了机器语言的优点,具有直接和简捷的特点,其代码具有效率高实时性强等优点。但是对于复杂的运算或大型程序,用汇编语言编写将非常耗时。汇编语言可以与高级语言配合使用,应用十分广泛。 ● ISP ISP(In-System Programming)在系统可编程, 是当今流行的单片机编程模式,指电路板上的空白元器 件可以编程写入最终用户代码,而不需要从电路板上取 下元器件。已经编程的器件也可以用ISP方式擦除或再 编程。本次课程设计便使用ISP方式,直接将编写好的 程序下载到连接好的单片机中进行调试。 选题 系统功能分析 硬件电路设计 整体流程设计 及模块划分 模块流程设计 模块编 码测试 系统合成调 试编译 下载调试(含硬件电路调试及软件烧写调试) 验收 完成总结报告课程设计流程图↑ 选题目的及设计思想简介: 课程设计是一次难得的对所学的知识进行实践的机会,我希望通过课程设计独立设计一个简单的系统从而达到强化课本知识并灵活运用的目的。电子数字钟是日常生活钟随处可见的简单系统。对电子数字钟的设计比较容易联系实际并进行拓展,在设计中我将力求尽可能跳出课本的样板,从现实生活中寻找设计原型和设计思路,争取有所突破。 如图所示便是我本次课程设计流程图,设计的整个过程运用自顶向下分析、自底向上实现的

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

校级优秀毕业设计论文

校级优秀毕业设计论文

一、校级优秀毕业设计(论文)名单 序号毕业设计(论文)题目学生指导教师专业(方向)学院 1 大学生方程 式电车总体 布置设计 白阳殷德军车辆工程 机械工 程学院 2 膏体推进剂 管道输运仿 真及试验 叶小 兵 陈雄 武器系统 与工程(火 箭弹) 机械工 程学院 3 非接触气隙 隔离DC/DC变 换器设计 朱幸朱丽 测控技术 与仪器 机械工 程学院 4 变支撑约束 下的大型丝 杠旋铣动态 响应特性分 析 顾旻 杰 王禹林 机械工程 及自动化 (机电工 程) 机械工 程学院 5 大学生方程 式赛车悬架 及转向系统 设计与仿真 谢臻殷德军 机械工程 及自动化 (机械设 计) 机械工 程学院 6 轮毂生产系 统分析与优 陶梦刘庭煜工业工程 机械工 程学院

化——布局分析与优化 设计 7 导游机器人 行走功能控 制系统设计 刘雪 松 韩军 机械工程 及自动化 (机电工 程) 机械工 程学院 8 可编程电子 时间引信炸 点控制电路 原理实验系 统开发 宋琦丁立波 武器系统 与工程(探 测制导与 控制) 机械工 程学院 9 119mm脉冲发 动机组设计 姚学 斌 余陵 武器系统 与工程(火 箭弹) 机械工 程学院 10 适用于电磁 脉冲炮弹的 脉冲调制网 络研究 沈杰潘绪超 武器系统 与工程(弹 药) 机械工 程学院 11 5足仿生机器 人机构设计 卜庆 伟 张龙 机械工程 及自动化 机械工 程学院

与运动学分 析 (机电工程) 12 基于正则表达式的NC 程序编译器设计与开发 顾星炜 袁红兵 机械工程 及自动化 (机电工 程) 机械工程学院 13 基于K 型热电 偶的测温系统设计 韩伟 卜雄洙 测控技术 与仪器 机械工程学院 14 固体火箭冲压发动机壅塞式燃气流 量调节装置 设计 余业辉 陈雄 飞行器设 计与工程 机械工 程学院 15 银改性MIL-101制备及吸附放射性碘研究 陈羽 杨毅 辐射防护 与环境工 程 环境与生物工程学院 16 新型电容除离子(CDI)电极的制备与 王祎 韩卫清 环境工程 环境与生物工 程学院

数字钟论文

基于单片机c语言的多功能数字钟的设计 系别:自动化系 专业名称:自动化 学生姓名:陈聪陈永宇蒋海勇 学号: 指导教师姓名、职称:李攀峰 完成日期2010 年06 月26 日

摘要 本设计论文主要介绍了用stc89c51单片机来控制数字钟的硬件结构和软件设计,给出了c语言的源程序。此数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,计时满刻度为23小时59分59秒,另外还有校时功能。电路由时钟脉冲发生器,时钟计时器,数码管驱动电路,以及蜂鸣器报时电路组成。数码管选用LED八段共阳数码管,在驱动电路下显示清晰,直观的数字符号。针对数字钟会产生走时误差的现象,在电路中有校准时间电路的功能的电路。 关键词:单片机;数字钟;计时;数码管

电子技术课程设计正文 一、电子设计题目: 数字钟设计 二、电子设计任务和基本要求: 1. 设计任务 基于单片机c语言设计一台可以显示时、分、秒的数字钟。 2. 基本要求 (1).能直接显示时、分、秒的数字钟,要求二十四为一计数周期。 (2).当电路发生走时误差时,要求电路具有校时功能。 (3).具有整点报时的功能,在离整定10s时,便自动发出鸣叫声,步长1s,每隔1s鸣叫一次。 (4).计时准确度,每天计时的误差不超过10s。 (5).要求电源电压+5伏 三、课程设计题目分析: 1. 设计要点 (1).设计一个单片机小系统电路 (2).设计数码管显示电路 (3).设计按键校时电路

(4).设计蜂鸣器整点报时电路 2. 工作原理: (1) 单片机小系统原理: 单片机最小系统由晶振电路,复位电路和电源基本组成。晶振电路在电路上它可以等效成一个电容和一个电阻并联再串联一个电容的二端网络。时钟电路用于产生单片机工作所需要的时钟信号,而时序的所研究的是指令执行中每个信号之间的相互的关系。单片机本身就是一个复杂的同步时序电路,为了保证同步工作方式的实现,电路应在唯一的时钟信号控制下严格的按照时序进行工作。复位电路的成功与否,关系到单片机系统能否正常的工作,电路上电时候或电压波动不稳定的时候,当给单片机上电那一瞬间,电压有在几微秒内(有的是几毫秒内)不是直接跳变到5V的而是一个直线上升的阶段,这时候,单片机不能正常工作,需要复位电路给它延时以等到电压稳定。 (2) 数码管显示原理: 一般数码管的驱动是用三极管驱动的原理为,用三极管控制共阳极数码管是利用三极管的开关特性,用pnp三极管的话,集电极接地,然后通过基极控制三极管的通断,当通过单片机给基极一个低电平时,发射极导通,集电极与发射

多功能电子时钟,毕业设计

多功能电子时钟 摘要 本文是基于AT89C52单片机数字钟的设计,通过多功能电子时钟的设计思路,详细叙述了系统硬件、软件的具体实现过程。 论文重点阐述了电子时钟硬件中MCU模块、时钟模块和键盘模块、显示模块等的模块化设计与制作;软件同样采用模块化设计思路,包括中断模块、闹钟模块、时间调整模块的设计,并采用C语言编写实现。本设计实现了时间与闹钟的修改功能,年、月、日和星期的显示功能。并且通过对比实际的时钟,查找出了误差的来源,确定了调整误差的方法,尽可能的减少误差,使得系统可以达到实际数字钟的允许误差范围内。本文还涉及到非接触止闹功能,在有效范围内使用者不需用手去寻找开关而关闭闹钟,该功能使本设计更具有人性化。该时钟还有重要日子倒计时功能,能够提前几天设定好时间,以避免遗忘重要日子。 关键词:AT89C52单片机,电子时钟,模块化设计,C语言

Multifunctional electronic clock ABSTRACT This article is based on AT89C52 microcontroller digital clock design, through multi-functional electronic clock design ideas, detailed description of the system hardware and software realization process. Paper focuses on the electronic clock hardware MCU module, clock module and keyboard module, display module, modular design and production; software as a modular design concept, including an interrupt module, alarm module, module design time to adjust and adopt the C language implementation. The Design and Implementation of the changes of time and alarm functions, year, month, day and week display. And by comparing the actual clock, find out the source of the error, the error method to determine the adjustment, as much as possible to reduce the error, allows the system to achieve the actual number of minutes of allowable error range. This also involves the function of non-contact only trouble in the effective range of users do not need a hand to find switch and turn off the alarm, this feature makes the design more user friendly. There are important days of the countdown clock function, set a good few days ahead of time, to avoid forgetting important occasions. KEY WORDS: AT89C52 microcontroller, electronic clock, modular design, C language

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

数字钟电子设计论文

电子技术综合设计 姓名:学号: 专业:电气工程及自动化 题目:简易数字钟 专题:电子技术综合设计 指导教师: 设计地点:电工电子实验室 时间:年 12 月

电子技术综合设计任务书 学生姓名专业年级电气学号 设计日期:20 年11月16 日至20 年12 月日 设计题目:电子技术综合设计 设计专题题目:简易数字钟 设计主要内容和要求: 1. 主要内容: ①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0 的计数电路; ②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管设计译码及 显示电路(数码管需加限流电阻); ③用脉冲开关设计校准功能; ④用32768Hz晶振构成秒脉冲信号发生器(32768Hz脉冲需经过CD4060 的14级分频得到2Hz脉冲,再经过CD4040的2分频得到秒脉冲)2. 整体电路原理图 60秒(60分)及24小时------计数、译码、显示(4路) 用8K复印纸手工画(如同实数字验指导书P22图6-5 ) 3. EWB仿真图 60秒、60分、24小时------计数、译码、显示(6路) 计算机打印 4. 设计原理图 用PROTEL99设计原理图并打印。 5. 设计PCB版图 用PROTEL99设计PCB板并打印。 6. 功能扩展要求 设计:①整点报时功能②12小时归1计数电路 指导教师签字:

摘要 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。 本次设计以数字电子为主,分别对秒计时显示、分计时显示、小时计时显示、校时电路、自带秒脉冲信号源进行设计,然后将它们组合,来完成时、分、秒的显示并且有自带秒脉冲信号源、走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LED数码管及各种门电路和基本的触发器等。 关键字:计数器;显示器;晶体振荡器;分频器;校时电路;

基于51单片机多功能电子时钟设计论文报告-毕设论文

单片机课程设计报告 多功能电子数字钟 姓名: 学号: 班级: 指导教师:

目录 一课程设计题目-------------------------------- 3 二电路设计--------------------------------------- 4 三程序总体设计思路概述------------------- 5 四各模块程序设计及流程图---------------- 6 五程序及程序说明见附录------------------- ** 六课程设计心得及体会---------------------- 11 七参考资料--------------------------------------- 12

一题目及要求 本次单片机课程设计在Proteus软件仿真平台下实现,完成电路设计连接,编程、调试,仿真出实验结果。具体要如下:用8051单片机设计扩展6位数码管的静态或动态显示电路,再连接几个按键和一个蜂鸣器报警电路,设计出一个多功能电子钟,实现以下功能: (1)走时(能实现时分秒,年月日的计时) (2)显示(分屏切换显示时分秒和年月日,修改时能定位闪 烁显示) (3)校时(能用按键修改和校准时钟) (4)定时报警(能定点报时) 本次课程设计要求每个学生使用Proteus仿真软件独立设计制作出电路图、完成程序设计和系统仿真调试,验收时能操作演示。最后验收检查 结果,评定成绩分为: (1)完成“走时+显示+秒闪”功能----及格 (2)完成“校时修改”功能----中等 (3)完成“校时修改位闪”----良好 (4)完成“定点报警”功能,且使用资源少----优秀

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于单片机的电子钟设计方案毕业论文。。.doc

基于单片机的电子时钟设计 摘要 20 世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用 12MHz的晶振产生振荡脉冲,定时 器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字 钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各 个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产 品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着 CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发 展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方 法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电子时钟设计论文

一摘要 单片计算机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM , 定时,计数和多种接口于一体的微控制器。他体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而51 单片机是各单片机中最为典型和最有代表性的一种。这次毕业设计通过对它的学习,应用,从而达到学习、设计、开发软、硬的能力。 二说明 系统由AT89C51、LED 数码管、按键、发光二极管等部分构成,能实现时间的调整、定 时时间的设定,输出等功能。系统的功能选择由SB0、SB1、SB2、SB3、SB4 完成。其中SB0 为时间校对,定时器调整功能键,按SB 0 进入调整状态。SB1 为功能切换键。第一轮按动 SB1 依次进入一路、二路、三路定时时间设臵提示程序,按SB3 进入各路定时调整状态。定 时时间到,二极管发亮。到了关断时间后灭掉。如果不进入继续按SB1 键,依次进入时间?年?位校对、?月?位校对、?日?位校对、?时?位校对、?分?位校对、?秒?位 校对状态。不管是进入那种状态,按动SB2 皆可以使被调整位进行不进位增量加1 变化。各 预臵量设臵完成后,系统将所有的设臵存入RAM 中,按SB1 退出调整状态。上电后,系统自 动进入计时状态,起始于? 00?时? 00?分。SB4 为年月日显示转换键,可使原来显示时分秒转换显示年月日。 三、电路原理分析 1. 显示原理 电原理图见附图1。由6 个共阴极的数码管组成时、分、秒的显示。P0 口的8 条数据线 P0.0 至P0.7 分别与两个CD4511 译码的ABCD 口相接,P2 口的P2.0 至P2.2 分别通过电阻 R10 至R13 与VT1 至VT3 的基极相连接。这样通过P0 口送出一个存储单元的高位、低位BCD 显示代码,通过P2 口送出扫描选通代码轮流点亮LED1 至LED6,就会将要显示的数据在数 码管中显示出来。从P0 口输出的代码是BCD 码,从P2 口输出的就是位选码。这是扫描显示 原理。 。 2 键盘及读数原理 键盘是人与微机打交道的主要设备,按键的读取容易引起误动作。可采用软件去 抖动的方法处理,软件的触点在闭合和断开的时候会产生抖动,这时触点的逻辑电 平是不稳定的,如不采取妥善处理的话,将引起按键命令错误或重复执行,在这里 采用软件延时的方法来避开抖动,延时时间20ms. 3 连击功能的实现 按下某键时,对应的功能键解释程序得到执行,如操作者没有释放按键,则对应 的功能会反复执行,好象连续执行,在这里我们采用软件延时250ms,当按键没释放则

相关文档
最新文档