智能电梯控制器设计报告

智能电梯控制器设计报告
智能电梯控制器设计报告

(封面)

XXXXXXX学院

智能电梯控制器设计报告

题目:

院(系):

专业班级:

学生姓名:

指导老师:

时间:年月日

目录

一、设计要求与思路 (3)

1.1 设计要求 (3)

1.2 设计思路 (3)

二、系统设计 (3)

2.1系统框图和说明 (3)

2.2 各模块的详细说明 (4)

分频模块 (4)

主程序模块 (4)

LCD模块 (4)

数码管模块 (4)

2.3 系统转态转换图 (5)

2.4 输入输出设计 (6)

按键输入 (6)

数码管和LCD (6)

LED灯 (6)

三、系统仿真 (7)

3.1 各个情况下的仿真波形 (7)

上升请求 (7)

下降请求 (7)

多个上升请求 (8)

多个下降请求 (8)

电梯锁定 (8)

四、实验总结 (9)

4.1 心得体会 (9)

附录:源代码........................................................................................................................... 9~28

一、设计要求与思路

1.1 设计要求

①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现一个以方向优先电梯调度算法。

②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开门,关门,静止)用发光管或数码管显示出来,并且能够实现对电梯实现锁定禁止运行。

③画出电梯控制器的状态机,写出状态编码方案。

④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适当增加比较符合现实的控制限制。

⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后作简要说明。并谈谈此次实验的收获、感想及建议

1.2 设计思路

我把电梯的状态分为三种:上升、下降、停留(其中包括开门、关门、禁止),状态分别编码为00、01、10来识别。楼层设计为六层,一般的电梯在每层外面都有上下请求的按钮,还有电梯内部的一楼到六楼的请求,以及持续开门、关门的请求。我们可以通过按键的输入对各种变量进行赋值,代表不同的请求,然后用case语句分各个楼层进行条件判断,每层分为两大块的响应,即本层有无需求,若有需求,则进行开门动作,若无需求,则分上升的状态和下降和停留的状态,分别又有不同的请求(除第一层和第六层特殊,只有上升的或下降的请求),上升下降分别作不同的响应(主要对i值进行变化)即可输入主要用按键实现(六个楼层加向上向下两个,共八个),电梯的状态分为4种,上升,下降,停留,禁止分别用4个LED灯来表示,用数码管显示电梯所在楼层。对电梯的锁定操作,是同时按上下两个按键,电梯锁定的操作是电梯自动回到一楼,并对请求无任何响应。

之后对该系统进行了改进,对于开门与关门的区别,我采用LCD显示,主要是对停留状态进行进一步分解,停留时对LCD显示进行控制,从而显示开门关门状态。

二、系统设计

2.1系统框图和说明

系统分为四个模块,分别为分频模块、LCD模块、数码管显示模块、主控制模块,各模块协调工作,共同实现电梯系统的正常运行。

外界请求

时钟

时钟50MHz输入

时钟输入为开发板上自带的50MHz的晶体振荡器,外界请求表示拨动各种开关来实现不同的请求。LCD显示包括LCD的初始延时,LCD的主程序,LCD的控制程序。

2.2 各模块的详细说明

分频模块

分频模块的实现是靠对输入的时钟进行计数来实现的,计数到一定的数后对输出的时钟进行取反,同时计数清零,重新进行计数,这样就实现了分频。

主程序模块

主程序模块主要是对楼层i进行划分,分别写其对输入信号的响应。开始是把各种input 与所设的变量进行联系,这样对变量就可以对整个系统进行控制。情况可分为以下几种,首先是本层有无需求,若有,则进行停留,并进行开门关门动作,若无,则又根据电梯的状态进行分类,上升,下降或者停留,其中都各有上升的请求、下降的请求;对情况进行分析,然后对变量重新赋值,这样再下一个时钟来时就会继续产生不同的响应,此时的状态也有变化。其中上升下降的操作用i +1,i-1来实现。

LCD模块

LCD模块主要是主控制程序中的control变量进行控制,对于每次control变化,LCD都会重新写一次数据,并且数据也是根据control的不同进行选择。

数码管模块

数码管模块是对楼层i进行译码然后进行显示,这里不赘述。

2.3 系统转态转换图

状态图分析:

每当条件变化时,状态再一定的时间后更新,然后通过LED灯和数码管可以看到状态的变化。S=00表示电梯处于停留状态,此间可进行开门关门操作,即用LCD显示开门关门状态;S=01代表电梯处于上升阶段,S=10代表电梯处于下降阶段,其状态的转换是根据有无上升下降的需求来进行条件判断的,每一次状态转换都会对已完成的需求进行清零操作,然后进行下一步的请求执行。

实例说明:当此时电梯处于一楼,我们将六楼的开关向上拨动并复位,此时将变量floor3[5]=1,符合向上的要求,即只需floor3[1]~floor[5]任意一个为一,都会执行i+1操作,并将状态识别为S=01,直到电梯到达六楼,此时i=6,floor[5]=1,满足开门的条件判断,将状

态转换为00,并改变control的值来实现LCD的显示,control=10,显示开门,0.5S后改为control=11,显示关门,最后control=00,即清屏,关门结束,不显示任何信息。

2.4 输入输出设计

按键输入

上面左边的六个键分别是各层楼的需求按钮(从右到左分别是1~6)右边单独的两个是A、B两个键,分别表示外面有需求(配合楼层键进行)。注意楼层键拨动后要复位,然后是A、B两键都拨上去的时候,电梯自动锁定,并且自动回到一楼。

数码管和LCD

LCD显示的箭头方向表示开门和关门,最右端的数码管显示电梯所在楼层。

LED灯

左边单个亮表示电梯处于停留状态,后面三个,第一个表示上升状态,第二个表示电梯锁定状态,第三个表示下降状态。

三、系统仿真

3.1 各个情况下的仿真波形

注意,这里是在使用时钟分频前仿真的。有以下各种情况:

上升请求

下降请求

多个上升请求

多个下降请求

电梯锁定

四、实验总结

4.1 心得体会

本次的电子课程设计我选择了智能电梯控制。选择题目时,因为电梯是我们再熟悉不过的了,所以以为设计会比较简单,然而真正实现起来并没有那么容易。首先电梯请求会有多种情况,如何选取最有效率的步骤才是关键。后来我通过实际了解电梯的流程,来通过状态图表示了算法。

本次课设我觉得给我最大的收获就是对Verilog语言的掌握和模块思想的更深刻的理解。在大二时,我们学习了数字电路中的Verilog,并通过它进行了FPGA的数字钟设计。然而此次的课程设计却要远远难于数字钟。因此经过分析之后,我决定把大工程分成几个子模块来进行分别设计,这样会降低难度,也利于管理。之后我又学习了Verilog语言,通过Altera 公司网站上的教程,因此对它也有了更好的掌握。

附录:源代码

module dianti(ledup,leddown,ledforbid,ledstay,A,B,c1,c2,c3,c4,c5,c6,clock,out,LCD_DATA,LCD_EN,

LCD_RS, LCD_RW, LCD_ON, LCD_BLON,test);

output ledup,leddown,ledstay,ledforbid;

output [6:0]out;

output LCD_EN, LCD_RS, LCD_RW, LCD_ON, LCD_BLON;

output [1:0]test;//用于测试开门关门状态

input A,B,clock,c1,c2,c3,c4,c5,c6;

inout [7:0]LCD_DATA; //.输入输出变量的声明

reg [4:0] floor1;

reg [5:1] floor2;

reg [5:0] floor3;

reg [3:0] i;

reg [1:0] s;

reg [6:0]out;

reg [3:0]t;

reg [1:0]control; //寄存器变量

wire clk_out;//分频后的输出时钟

wire [1:0]test;

reg ledup,leddown,ledforbid,ledstay;

assign test=control;

Clock c(clock,clk_out); //分频

LCD d(clock,control,LCD_DATA,LCD_EN, LCD_RS, LCD_RW, LCD_ON, LCD_BLON);//LCD显示always @(posedge clk_out)

begin

t<=t+4'b0001;

ledup<=(s==2'b01 &&!(A==1 && B==1) ); //电梯上升中

leddown<=(s==2'b10 &&!(A==1 && B==1));//电梯下降中

ledforbid<=(A==1 && B==1);// 电梯处于锁定

ledstay<=(s==2'b00&&!(A==1 && B==1));//电梯停留

if( A==1 && B==0)

begin // request up

if(c5==1)

floor1[4]<=1;

if(c4==1)

floor1[3]<=1;

if(c3==1)

floor1[2]<=1;

if(c2==1)

floor1[1]<=1;

if(c1==1)

floor1[0]<=1;

end

else if (B==1 && A==0)

begin //request down

if(c6==1)

floor2[5]<=1;

if(c5==1)

floor2[4]<=1;

if(c4==1)

floor2[3]<=1;

if(c3==1)

floor2[2]<=1;

if(c2==1)

floor2[1]<=1;

end

else if(A==0 && B==0) //request in the elv

begin

if(c6==1)

floor3[5]<=1;

if(c5==1)

floor3[4]<=1;

if(c4==1)

floor3[3]<=1;

if(c3==1)

floor3[2]<=1;

if(c2==1)

floor3[1]<=1;

if(c1==1)

floor3[0]<=1;

end

else

begin

floor1[4:0]<=floor1[4:0];

floor2[5:1]<=floor2[5:1];

floor3[5:1]<=floor3[5:1];

floor3[0]<=1;

end

case(i)

1: // the 1st floor

begin

if(floor1[0]==1'b1 ||floor3[0]==1'b1 ) //open then door begin

if(t==2)

begin

s<=2'b00; //stop

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor1[0]<=1'b0;

floor3[0]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if(floor1[0]!=1'b1 && floor3[0]!=1'b1 && t==2 )

begin

t<=0;

if(floor1[4:1]==4'b0000 && floor2[5:1]==5'b00000 && floor3[5:1]==5'b00000) i<=1;

else

begin

s<=2'b01; //up

i<=i+4'b0001;

end

end

end

2: //the 2ed floor

begin

if( (floor1[1]==1'b1 || floor3[1]==1'b1) ) //本层有需求,停留后开门和关门begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;//开门

end

if(t==6)

begin

floor1[1]<=1'b0;

floor3[1]<=1'b0;

control<=2'b00;//关门

t<=0;

end

end

else if (!(s==2'b01 &&(floor1[1]==1'b1 || floor3[1]==1'b1))&&!(s==2'b10 &&(floor2[1]==1'b1 || floor3[1]==1'b1))&& t==2)//本层无需求

begin

t<=0;

if(s==2'b01) //若处于上升中

if(floor1[4:2]!=3'b000 || floor2[5:2]!=4'b0000 || floor3[5:2]!=4'b0000) //上面有需求i<=i+4'b0001;

else if (floor1[4:2]==3'b000 && floor2[5:2]==4'b0000 && floor3[5:2]==4'b0000 && floor3[0]==1'b1) //下面有需求

begin

s<=2'b10;//down

i<=i-4'b0001;

end

else

s<=2'b00;

else if(s==2'b10) //down//若处于下降中

if(floor3[0]!=1'b0 || floor1[0]!=1'b0)//下面有需求

i<=i-4'b0001;

else if( floor1[0]==1'b0 && floor3[0]==1'b0 && floor1[4:2]!=3'b000 )//上面有需求

begin

s<=2'b01; //up

i<=i+4'b0001;

end

else

s<=2'b00;

else if( s==2'b00)//若处于停留

if(floor1[4:2]!=3'b000 || floor2[5:2]!=4'b0000 || floor3[5:2]!=4'b0000)//上面有需求

begin

s<=2'b01;//up

i<=i+4'b0001;

end

else if(floor1[0]!=1'b0 || floor3[0]!=1'b0)//下面有需求

begin

s<=2'b10; //down

floor2[1]<=1'b0;

floor3[1]<=1'b0;

i<=i-4'b0001;

end

end

end

//下面几层都和二层的情况一样,故不做相应的注释

3: //the 3rd floor

begin

if( (floor1[2]==1'b1 || floor3[2]==1'b1) ) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor1[2]<=1'b0;

floor3[2]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if ( (floor2[2]==1'b1 || floor3[2]==1'b1) ) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor2[2]<=1'b0;

floor3[2]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if (!(s==2'b01 &&(floor1[2]==1'b1 || floor3[2]==1'b1))&&!(s==2'b10 &&(floor2[2]==1'b1 || floor3[2]==1'b1))&& t==2)

begin

t<=0;

if(s==2'b01) //up

if(floor1[4:3]!=2'b00 || floor2[5:3]!=3'b000 || floor3[5:3]!=3'b000)

i<=i+4'b0001;

else if ( floor1[4:3]==2'b00 && floor2[5:3]==3'b000 && floor3[5:3]==3'b000 && (floor2[1]!=1'b0 || floor3[1:0]!=2'b00) )

begin

s<=2'b10;//down

i<=i-4'b0001;

end

else

s<=2'b00;

else if(s==2'b10) //down

if(floor1[1:0]!=2'b00 ||floor3[1:0]!=2'b00 || floor2[1]!=1'b0)

i<=i-4'b0001;

else if(floor2[1]==1'b0 && floor3[1 :0]==2'b00 && floor3[1 :0]==2'b00 && floor1[4:3]!=2'b00 )

begin //up

s<=2'b01;

i<=i+4'b0001;

end

else //stop

s<=2'b00;

else if( s==2'b00)

if(floor1[4:3]!=2'b00 || floor2[5:3]!=3'b000 || floor3[5:3]!=3'b000)//up

begin

s<=2'b01;

i<=i+4'b0001;

end

else if(floor1[1:0]!=2'b00 || floor2[1]!=1'b0 || floor3[1:0]!=2'b00)//down

begin

s<=2'b10;

i<=i-4'b0001;

end

else

s<=2'b00;

end

end

4: //the 4th floor

begin

if( (floor1[3]==1'b1 || floor3[3]==1'b1)) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

begin

control<=2'b11;

end

if(t==6)

begin

floor1[3]<=1'b0;

floor3[3]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if ( (floor2[3]==1'b1 || floor3[3]==1'b1) ) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor2[3]<=1'b0;

floor3[3]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if (!(s==2'b01 &&(floor1[3]==1'b1 || floor3[3]==1'b1))&&!(s==2'b10 &&(floor2[3]==1'b1 || floor3[3]==1'b1))&& t==2)

begin

t<=0;

if(s==2'b01) //up

if(floor1[4]!=1'b0 || floor2[5:4]!=2'b00 || floor3[5:4]!=2'b00)

i<=i+4'b0001;

else if (floor1[4]==1'b0 && floor2[5:4]==4'b00 && floor3[5:4]==4'b00 && (floor2[2:1]!=2'b00 || floor3[2:0]!=3'b000))

begin

s<=2'b10;//down

i<=i-4'b0001;

end

s<=2'b00;

else if(s==2'b10) //down

if(floor1[2:0]!=3'b000 || floor3[2:0]!=3'b000 ||floor2[2:1]!=2'b00 )

i<=i-4'b0001;

else if(floor2[2:1]==2'b00 && floor3[2:0]==3'b000 && floor1[4]==1'b1 ) begin

s<=2'b01;//up

i<=i+4'b0001;

end

else

s<=2'b00;

else if( s==2'b00)

if(floor1[4]!=1'b0 || floor2[5:4]!=2'b00 || floor3[5:4]!=4'b00)

begin

s<=2'b01;//up

i<=i+4'b0001;

end

else if(floor1[2:0]!=3'b000 || floor2[2:1]!=2'b00 || floor3[2:0]!=3'b000) begin

s<=2'b10; //down

i<=i-4'b0001;

end

end

end

5: //the 5th floor

begin

if( (floor1[4]==1'b1 || floor3[4]==1'b1) ) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor1[4]<=1'b0;

floor3[4]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if ( (floor2[4]==1'b1 || floor3[4]==1'b1) ) //open then door

begin

if(t==2)

begin

s<=2'b00;

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor2[4]<=1'b0;

floor3[4]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if (!(s==2'b01 &&(floor1[4]==1'b1 || floor3[4]==1'b1))&&!(s==2'b10 &&(floor2[4]==1'b1 || floor3[4]==1'b1))&& t==2)

begin

t<=0;

if(s==2'b01) //up

if(floor3[5]==1'b1 || floor2[5]==1'b1)

i<=i+4'b0001;

else if (floor2[5]==1'b0 && floor3[5]==1'b0 && (floor2[3:1]!=3'b000 || floor3[3:0]!=4'b0000))

begin

s<=2'b10;//down

i<=i-4'b0001;

end

else //stop

s<=2'b00;

else if(s==2'b10) //down

if(floor1[3:0]!=4'b0000 || floor3[3:0]!=4'b0000 || floor2[3:1]!=3'b000)

i<=i-4'b0001;

else if(floor2[3:1]==3'b000 && floor1[3:0]==4'b0000 && floor3[3:0]==4'b0000 && floor3[5]==1'b1 )

s<=2'b01; //up

i<=i+4'b0001;

end

else //stop

s<=2'b00;

else if( s==2'b00)

if( floor2[5]==1'b1 || floor3[5]==1'b1)

begin

s<=2'b01;//up

i<=i+4'b0001;

end

else if(floor1[3:0]!=4'b0000 || floor2[3:1]!=3'b000 || floor3[3:0]!=4'b0000) begin

s<=2'b10; //down

i<=i-4'b0001;

end

end

end

6: //the 6th floor

begin

if( floor2[5]==1'b1 ||floor3[5]==1'b1) //open then door

begin

if(t==2)

begin

s<=2'b00; //stop

control<=2'b10;

end

if(t==4)

begin

control<=2'b11;

end

if(t==6)

begin

floor2[5]<=1'b0;

floor3[5]<=1'b0;

control<=2'b00;

t<=0;

end

end

else if(!( floor2[5]==1'b1 ||floor3[5]==1'b1) && t==2)

begin

if(floor1[4:0]==5'b00000 && floor2[4:1]==4'b0000 && floor3[4:0]==5'b00000) i<=i;

else

begin

s<=2'b10; //down

i<=i-4'b0001;

end

end

end

default:

i<=1;

endcase

case(i)

4'b0001:out=7'b1111001;

4'b0010:out=7'b0100100;

4'b0011:out=7'b0110000;

4'b0100:out=7'b0011001;

4'b0101:out=7'b0010010;

4'b0110:out=7'b0000010;

default:out=7'b1111001;//译码器译码

endcase

end

endmodule

module Clock(clk,clk_out);

input clk;

output clk_out;

reg clk_out;

reg [25:0]Q;

always @(posedge clk)

begin

if(Q==26'b110000000000000000000000)

begin

clk_out=~clk_out;//当计数到一定数量的时候新时钟跳变

Q=26'b0;

end

else

begin

Q=Q+26'b1;

end

end

电梯控制器设计

单片机原理与应用技术课程设计报告(论文) 基于单片机的电梯控制器的设计 专业班级:电子132班 姓名:耿彦 时间:11.30——12.20 指导教师:苏珂珂 2015 年 12 月 18 日

电梯控制器课程设计任务书 1.设计目的与要求 1.1 基本功能 (1)显示:本设计要求实现6层控制,实时显示电梯所在楼层位置。 (2)升降控制:采用一台电动机的正反转来实现电梯的升降。 (3)具备不可逆响应的功能: 电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向呼叫均无效。 1.2 扩展功能 (1)可增加人性化的按键语音服务功能。 (2)可增加遥控或感应操作功能。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

基于单片机的电梯控制器设计 电子132 耿彦 摘要:单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中52单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中常用的交通工具。本设计选择AT89C52为核心控制元件,设计了一个六层电梯系统,使用C语言进行编程,实现运送乘客到任意楼层,并且实时显示电梯的楼层和电梯上下情况。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词:电梯、AT89C52 、LED显示、电机正反转控制、楼层显示 1 引言 随着人们生活水平的不断提高和国名经济的迅速发展。各大城市建筑物在不断向高层化发展。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。因此电梯控制技术也在不断的进步和完善,常用的控制技术主要的有两种技术:基于PLC控制和基于单片机控制两大技术。用PLC控制的电梯性能可靠、稳定,但是造价太高。基于单片机控制的电梯可以大大的降低成本而且运行也较可靠,所以现在电梯控制中大多数采用单片机控制。 本文基于单片机89SC51来控制各部分电路,采用单片机构成控制系统,可大大降低成本,而且做成专用控制系统,程序被固化,加强了保密性,提高了可靠性。 2 总体设计方案 电梯控制系统由外部呼叫,内部呼叫,电机控制电路,楼层显示部分等组成。电梯在各楼层的定位本应采用行程开关或者传感器,由于条件限制,采用延时控制。相邻楼层间升降时间设为5秒。 2.1 设计思路 本设计的总思想是,用开关按钮做单片机的控制端来做作为输入信号,说明人在那一层,用七段数码管来显示电梯位置。当电梯到达要求的楼层时,停止、开门,并继续查询有无呼叫信号,如此循环,同时可以利用单片机外部的复位按钮使电梯复位。用单片机来控制电机的正反转来运行电梯的上、下,用发光二极管来显示电梯是上升还是下降,并且整体电梯全部采矩阵键盘来实现。由于设计中有不可逆控制,当上升或下降过程中,只相应同方向的呼叫相应。 2.2 总体设计框图 本电路主要由5大部分电路组成:键盘电路、单片机最小系统电路、楼层显示电路、电机状态显示电路、电机控制电路。其中单片机最小系统主要由复位电路和时钟电路组成。电路复位后楼层显示数字1 表示电梯此时在一楼,显示电路通过74ls245串入并出驱动8位数码管显示,电梯楼层位置是由延时电路控制的,每层之间通过3秒延时控制即每延时3秒表示电梯走了一层。电梯状态是通过两个发光管显示的,绿灯亮表示电梯在向上运行,黄灯亮表示电梯在向下运行。键盘电路采用4×4矩阵键盘(共16个按键),其中10个按键是各层楼外呼按键,6个表示电梯内部的选择键。电梯的正常工作是通过对单片机写入程序控制的。总体设计方框图如图一所示:

电梯控制智能化系统设计方案

精选范文、公文、论文、和其他应用文档,希望能帮助到你们! 电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (4) 第三章系统设计目标及原则 (5) 3.1系统设计目标 (5) 3.2系统设计原则 (6) 第四章系统解决方案及技术描述 (6) 4.1系统概述 (6) 4.2系统基本功能及特点 (7) 4.3系统结构 (8) 第五章设备介绍 (10) 第六章工作原理 (12) 第七章系统设备清单及价格 (14) 第八章工程实施 (15) 第九章售后服务 (17)

第十章质量保证 (19) 第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出 进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以

通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

PLC课程设计(三层电梯控制系统)

三层电梯控制系统的模拟 我设计的三层电梯控制系统的主要功能有:①楼层指示灯亮时表示停在相应的楼层,②每当停在各楼层时其楼层指示灯闪烁1秒接着常亮,③有呼叫的楼层有响应,反之没有,④电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。 2. 硬件电路设计和描述 ①模拟装置介绍 S1、S2、S3分别为轿厢内一层、二层、三层电梯内选按钮;D2、D3分别为二层、三层电梯外下降呼叫按钮;U1、U2分别为一层、二层电梯外上升呼叫按钮;SQ1、SQ2、SQ3分别为一层、二层、三层行程开关,模拟实际电梯位置传感器的作用。 L1、L2、L3分别为一层、二层、三层电梯位置指示灯;DOWN为电梯下降状态指示灯;UP为电梯上升状态指示灯;SL1、SL2、SL3分

别为轿厢内一层、二层、三层电梯内选指示灯。 ②控制要求 电梯由安装在各楼层门口的上升和下降呼叫按钮进行呼叫操纵,其操纵内容为电梯运行方向。电梯轿箱内设有楼层内选按钮S1~S3,用以选择需停靠的楼层。L1为一层指示、L2为二层指示、L3为三层指示,SQ1~SQ3为到位行程开关。电梯上升途中只响应上升呼叫,下降途中只响应下降呼叫,任何反方向的呼叫均无效。例如,电梯停在由一层运行至三层的过程中,在二层轿箱外呼叫时,若按二层上升呼叫按钮,电梯响应呼叫;若按二层下降呼叫按钮,电梯运行至二层时将不响应呼叫运行至三层,然后再下降,响应二层下降呼叫按钮。 电梯位置由行程开关SQ1、SQ2、SQ3决定,电梯运行由手动依次拨动行程开关完成,其运行方向由上升、下降指示灯UP、DOWN 决定。 例如:闭合开关SQ1,电梯位置指示灯L1亮,表示电梯停在1层,这时按下三层下呼按钮D3,上升指示灯UP亮,电梯处于上升状态。断开SQ1、闭合SQ2,L1灭、L2亮,表示电梯运行至二层,上升指示灯UP仍亮;断开SQ2、闭合SQ3,电梯运行至三层,上升指示灯UP 灭,电梯结束上升状态,以此类推。 当电梯在三层时(开关SQ3闭合),电梯位置指示灯L3亮。按下轿厢内选开关S1,电梯进入下降状态。在电梯从三层运行至一层的过程中,若按下二层上呼U2与下呼按钮D2,由于电梯处于下降状态中,电梯将只响应二层下呼,不响应二层上呼。当电梯运行至二层时,

基于单片机的电梯控制系统毕业论文完整

. .. . 分类号 XX大学 毕业设计(论文) 题目基于单片机的电梯控制系统 并列英文题目Elevator control system based on single chip 系部:电子信息系专业:应用电子技术 姓名:XXX 班级:电子0801 指导教师:XXX 职称:教师 论文报告提交日期:2011年5月25日

XX大学

模拟电梯控制系统设计 摘要单片机即单片微型计算机(Single-Chip Microcomputer ),是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器。其中51单片机是各种单片机中最为典型和最有代表性的一种,广泛应用于各个领域.电梯是集机械原理应用、电气控制技术、微处理器技术、系统工程学等多学科和技术分支于一体的机电设备,它是建筑中的永久垂直交通工具。本论文选择AT89S51为核心控制元件,设计了一个八层电梯系统,使用单片机汇编语言进行编程,实现运送乘客到任意楼层,并且显示电梯的楼层和上下行。利用单片机控制电梯有成本低,通用性强,灵活性大及易于实现复杂控制等优点。 关键词单片机电梯系统控制

Simulated elevator control system design Abstract Microcontroller that microcomputer (Single-Chip Microcomputer) gathering CPU, RAM, ROM, the timing, number and variety of interface integrated microcontrollers. 51 various SCM SCM is the most typical and most representative of a widely used in various fields. Elevator is the application of the principle set machinery, electrical control technology, microprocessor technology, systems engineering and other technical disciplines and branches of the integration of mechanical and electrical equipment, which is building a permanent vertical transport. This paper choice AT89S51 control of the core components, designed a new 8 storey lift systems, using single-chip assembly language programming, transporting passengers arrived a floor, it also shows the elevator floor and downlink. SCM control elevators low cost, versatility, flexibility and ease of large complex control advantages Keyboards Single-Chip Microcomputer Elevator system control

智能电梯控制器的设计

电子技术课程设计报告 智能电梯控制器的设计 班级自动化1306 学号 姓名 日期2016-6-20

目录: 一.课程设计题目 (3) 二.问题分析 (4) 1.方向优先的电梯调度 (4) 2.电梯的状态 (4) 3.状态编码方案 (4) 三.状态机 (5) 四.系统输入与输出 (6) 五.系统框图与系统设计 (7) 1.系统框图 (7) 2.系统设计 (8) 六.波形仿真 (9) 1.请求处理 (9) 2.状态转换 (10) 3.楼层推进 (11) 4.电梯运行的优先级 (12) 5.复位信号reset (13) 6.锁定禁止运行按键forbid (13) 七.程序源代码及注释 (14) 八.引脚分配 (26) 六.心得与体会 (27)

一.课程设计题目 设计内容与要求: ①楼层的高度大于等于6,根据降低运行成本的原则,设计并实现 一个以方向优先电梯调度算法。 ②要求能够使用按键模拟对电梯的控制,为了便于观察,将电梯所在 的楼层(1~6)用数码管显示出来,将电梯的上下楼状态(上,下,开 门,关门,静止)用发光管或数码管显示出来,并且能够实现对电 梯实现锁定禁止运行。 ③画出电梯控制器的状态机,写出状态编码方案。 ④用Verilog语言对设计进行描述,并下载到实验板上调试成功,适 当增加比较符合现实的控制限制。 ⑤写出设计性实验报告,并打印各层次的源文件和仿真波形,然后 作简要说明。并谈谈此次实验的收获、感想及建议

二.问题分析 1.方向优先的电梯调度 电梯有三种运行状态,分别是上升、下降和静止等待。 出于降低成本的考虑,电梯在某一方向运行时,要完成该方向上所有的请求后,才能变换运动方向去响应反方向的请求。 电梯上升时,优先响应电梯现在楼层以上楼层的上升、下降和楼层选择信号;电梯下降时,优先响应电梯现处楼层以下楼层的上升、下降和楼 层选择信号;电梯处于等待状态时,响应收到的第一个请求信号。 因此,电梯运行方向的判断要综合考虑三种请求信号、电梯当前所处楼层和电梯当前的状态等多种因素。 2.电梯的状态 电梯运动过程中,有五种基本状态:上升,下降,开门,关门,静止。 考虑到电梯停止在某一楼层等待请求信号的状态为静止状态;另外,电梯运动过程中暂时停靠在某一楼层,开门后需要等待一段时间,这段时 间电梯也没有动作,这时电梯也是“静止”状态。若只用一个状态表示两 种不同的静止情况,需要增加一个电梯的“开/关门标志”来区分两个“静 止”状态。 因此,为了简化逻辑,在5个基本状态的状态编码中增加一个状态,用一个新状态(OPENWAIT)来表示开门情况下的静止状态。 最终,电梯的状态机中共有6个状态。 3.状态编码方案 从数字电路设计的理论课中,我们学到,在进行状态编码时,若系统中存在多个状态,为了增强系统的稳定性。可用二进制位数与状态数相同 的变量来表征系统状态。 因此,电梯的状态用一个6位的二进制数currentstate[5:0]来表示。 6个状态分别编码为: STATIC=6'b000001, UP=6'b000010, DOWN=6'b000100, OPEN=6'b001000, CLOSE=6'b010000, OPENWAIT=6'b100000; 这样,每次状态发生变化时,只用检测状态变量中的的某一位二进制数即可知道电梯处于哪一状态。如要检测电梯是否处于OPEN开门状态, 只需检测currentstate[3]是否等于1即可。

电梯控制系统设计方案

上海四景计算机信息科技有限公司 电 梯 控 制 系 统 方 案

上海四景计算机信息科技有限公司 舒特电梯智能控制系统 ---楼宇自动化的首选 前言: 系统概述: 随着高科技的蓬勃发展,智能化管理已经走进了人们的生活。物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。所有的电梯楼层,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员进出记录都有据可寻。针对这些需求我们开发了电梯楼层控制器,并分为手动型和自动型两款,客户可以根据需求选择适合自己的产品。 通过智能卡管理电梯运行,可将闲杂人员阻止在电梯之外;同时,又起到了电梯省电省空耗的环保作用;也减少了出现电梯按键失灵的情况;延长了电梯使用寿命;加强了传统安全管理系统中管理的薄弱的一面;提高了物业的安全等级,电梯系统智能化控制已逐渐成为智能化建筑楼宇中必不可少弱电系统之一 二、选择使用电梯控制系统带来的好处 (一)使用梯控制系统可有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现各种功能需求。 (二)使用梯控制系统能够提高楼盘的整体智能化程度,提升楼盘亮点和档次,充分体现智能化楼宇和智能化小区意义,是楼盘更具附加值。 (三)使用梯控制系统能够使公共电梯轻松晋级为私有电梯,能够让业主充分体现私有电梯的尊贵和方便性。 (四)使用梯控制系统能够为用户提供更方便和更公平使用。 (五)协助收取物业费 管理人员可对系统的用户卡设定使用权限,设定失效日期,便于控制管理费用的收取。 如用户使用到达使用的失效时间,则不能开梯,提醒并促使用户到达管理处及时缴费,对于不按时交纳物业费的业主,则不能使用电梯,有效的将管理费用与用户使用权限挂

单片机课程设计之电梯控制系统

微控综合系统课程设计报告 专 班 姓 学 2016 年 12 月 23 日

摘要 本文介绍了一种采用STC15F2K60S2芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现电子设计的方法,利用单片机编程实现功能,简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。硬件部分主要由单片机的最小模块、电梯内外按钮控制模块、数码管显示楼层模块、发光二极管显示目的楼层模块、报警显示模块组成。软件部分使用kiel软件进行C语言程序编写,用proteus软件进行仿真调试。本设计具有电梯控制系统所需的一些基本功能,能通过方向按键选择方向,能通过数字按键选择楼层,数码管显示实时楼层数,电动机控制部分采用直流电机及H桥驱动电路,使电梯箱能上下运动。硬件设计简单可靠,结合软件,基本实现了五层电梯运行的模拟仿真。 关键词:STC15F2K60S2芯片;电梯控制系统;C语言 Abstract This paper describes the use of a STC15F2K60S2 chip for elevator control system design methods, mainly elaborates how to use microcontroller programming method to realize electronic design, realize the function of the use of single-chip programming, concise and changing the design method shortens the development cycle, at the same time the elevator control system smaller and more powerful. The hardware part is composed of the smallest module of the microcontroller, the internal and external button control module, the digital control display module, the light emitting diode display module and the alarm module. The software part uses the Kiel software to carry on the C language programming, uses the Proteus Software to carry on the simulation debugging. Some of the basic functions of the design of the elevator control system required, canchoose direction key direction through the number keys to select the floor, real-time digital display of the number of floors, the motor control part adopts DC motor and H bridge driving circuit, the elevator box can move up and down. The hardware design is simple and reliable, combined with the software, the basic realization of the five elevator operation simulation. Key words: STC15F2K60S2 chip; elevator control system; C language. 1.引言 随着现代高科技的发展,住房和办公用楼都已经逐渐向高层发展。电梯是高层宾馆、商店、住宅、多层仓库等高层建筑不可缺少的垂直方向的交通运输工具。因此电梯在我们的生活中起着举足轻重的作用。电梯已不仅是一种生产环节中的重要设备,更是一种人们频繁乘用的交通运输设备。由于传统的电梯运行逻辑控制系统采用的是继电器逻辑控制线路。采用这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术发展来看,这种系统将逐渐被

自动化毕业论文(四层电梯的PLC控制系统设计与实现)概要

摘要 随着科学技术的发展,近年来我国的电梯生产技术得到了迅速发展,一些电梯厂也在不断改进设计、修改工艺。更新换代生产更新型的电梯,电梯主要分为机械系统与控制系统两大部份,随着自动控制理论与微电子技术的发展,电梯的拖动方式与控制手段均发生了很大的变化,交流调速是当前电梯拖动的主要发展方向。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统)、PLC控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰。微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而PLC控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点,倍受人们重视等优点,已成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传统继电器控制系统的技术改造。 关键词PLC;电梯;控制系统;设计 I

Abstract Along with science's and technology's development, the recent years, our country's elevator production technology obtained the rapidly expand. Some elevator factory unceasingly is also improving the design, the revision craft. The renewal production renewal's elevator, the elevator mainly divides into the mechanical system and the control system two major parts, along with the automatic control theory and microelectronic technology's development, elevator's dragging way and the control method has had the very big change, the exchange velocity modulation is the current elevator dragging main development direction. At present the lift control system mainly has three control modes: Following electric circuit control system (“early installment elevator many black-white control system), PLC control system, microcomputer control system. Because the black-white control system the failure rate is high, the reliability is bad, control mode not nimble as well as consumed power big and so on shortcomings, at present has been eliminated gradually. Key words PLC, elevator, control system, design II

2019年电梯控制智能化系统设计方案.

2019年电梯控制智能化系统设计方案 目录 第一章概述 (2) 第二章系统需求分析 (3) 第三章系统设计目标及原则 (4) 3.1系统设计目标 (4) 3.2系统设计原则 (4) 第四章系统解决方案及技术描述 (5) 4.1系统概述 (5) 4.2系统基本功能及特点 (5) 4.3系统结构 (5) 第五章设备介绍 (7) 第六章工作原理 (8) 第七章系统设备清单及价格 (10) 第八章工程实施 (11) 第九章售后服务 (13) 第十章质量保证 (14)

第一章概述 1.概述: 物业管理公司或管理人员为了能对楼宇内各种人员的进出进行更有效、更安全的管理,有效的控制闲杂人员的进入,可以通过采用对电梯的合理控制实现这种功能需求。VD-TK800是专门用于楼宇的电梯控制和集成的电梯专用控制器。通过采用VD-TK800对电梯按键面板进行改造后,所有使用电梯的持卡人,都必须先经过系统管理员授权。使用电梯时,不同的人有不同的权限分配,每个进入电梯的人经过授权可以进入指定的区域或楼层,并且可以根据时间表进行授权管理。未经授权,无法进入管理区域的楼层,并对重要楼层进行时间段控制。控制器不管是脱机运行还是联机控制,都可记录大量的交易数据,使得电梯的所有人员 进出记录都有据可寻。 VD-TK800基于控制软件平台使用的一个控制模块,它与ACS2002门禁控制系统相互兼容,组成一个强大的保安系统网络,也可以独立使用来控制电梯。VD-TK800可在线运行,可以单机独立运行,即使关闭PC机,VD-TK800也可以正常使用,确保其稳定可靠的控制功 能,从而提高楼宇管理层次。 VD-TK800/E智能电梯控制器

电梯控制系统设计设计说明

电梯控制系统设计设计说明

第 1 页共 3 页 编号: 毕业设计说明书 题目:电梯控制系统设计 院(系):电子工程与自动化学院 专业:电子信息科学与技术专业 学生姓名: 学号:0900840218 指导教师:李莉 职称:讲师 题目类型:理论研究实验研究工程设计√软件开发 2013年5月20日

第 3 页共 39 页 摘要 本设计主要利用AT89S52单片机,实现电梯控制系统的设计。单片机与电机驱动电路的结合完成了电梯基本的升降、楼层停靠、方向选择、时间控制等基本功能,研究并实现了在上位机的模式下通过LABVIEW的远程监测的方法,完成了系统样机的设计与制作。 本设计参照了通用电梯的设计标准,有良好的操作界面和通用的外部接口,具有人性化设计,实现较好的外设兼容性。同时在系统样机中完成的其它设计研究还包括,利用LED和蜂鸣器组成的简单电路实现电梯意外声光报警、利用数码管实现电梯楼层显示,利用4x4矩阵键盘实现电梯楼层按键选择,利用LED实现目的楼层的指示,利用MAX232串口电路实现串口通信,来监测电梯实时状态。样机使用的主要器件包括低功耗、高性能的AT89S52单片机,低功耗、低成本、低电压的MAX232,双全桥电机专用驱动芯片L298,共阴极八段数码管,4x4矩阵键盘等,通过比较合理的设计使样机系统基本达到了任务要求,并具有很高的性价比,硬件设计简单可靠。软件部分使用keil软件进行C语言程序编写,用proteus 7软件进行仿真调试。本设计中综合使用了数字电路、模拟电路、高频电路、单片机及编程、硬件逻辑描述、LABVIEW及其应用以及计算机辅助设计(CAD)等多方面的知识,软硬件结合,很好地完成了本科毕业设计任务要求并取得了良好的学习效果。 关键词:AT89C52;单片机;电梯控制系统; C语言

基于PLC的三层电梯控制系统设计 开题报告

工学院毕业设计(开题报告) 题目:基于PLC的三层电梯控制设计 专业:机械械设计制造及其自动化 班级:06级(2)班 姓名:石德龙 学号:2006664220 指导教师:陈娟 日期: 2010-6-7

安徽科技学院本科生毕业论文(设计)选题申请表 基本情况课题名称 教师姓名职称 课题来源 A.科研 B.生产 C.教学 D.其它课题类型 A.论文 B. 设计 选 题 理 由 签字: 年月日 指 导 教 师 意 见签字: 年月日 审 题 意 见 教学院(部)签章: 年月日安徽科技学院本科生毕业论文(设计)开题报告书

题目基于三层电梯的PLC控制 学生姓名石德龙指导教师陈娟职称副教授 一、本课题的研究背景及意义 (1)题目背景:随着城市建设的不断发展,楼群建筑不断增多,电梯在当今社会的生活中有着广泛的应用。电梯作为楼群建筑中垂直运行的交通工具已与人们的日常生活密不可分。实际上电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足控制要求的,因此,大部分电梯控制系统都采用随机逻辑方式控制。传统的电梯运行逻辑控制系统采用继电器逻辑控制线路。这种控制线路,存在易出故障、维护不便、运行寿命较短、占用空间大等缺点。从技术上发展来看,这种系统将逐渐被淘汰。如何解决电梯的可靠性、维护方便等问题已成为全社会关注的焦点和大众的迫切心声。 (2)题目研究的意义:目前,由可编程序控制器和微机组成的电梯运行逻辑控制系统,正以很快的速度发展着。采用PLC控制的电梯可靠性高、维护方便、开发周期短,这种电梯运行更加可靠,并具有很大的灵活性,可以完成更为复杂的控制任务,已成为电梯控制的发展方向,其许多功能是传统的继电器控制系统无法实现。 可编程控制(Programmable Controller)系统是专门为在工业环境下应用而设计的数字运算操作电子系统。它采用一种可编程的存储器,在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,通过数字式或模拟式的输入输出控制各种类型的机械设备或生产过程。通过可编程控制器可以实现由继电器实现的逻辑控制功能,而且最主要的是可编程控制器的“可编程”功能,使得当改变电梯的控制功能时,只要更改程序即可,而不需要像继电器控制系统那样改变硬件和接线。 二、本课题国内外研究现状 传统的电梯控制系统主要采用继电器—接触器进行控制,其缺点是触点比较多,故障高,可靠性差、体积大、维修工作量大等缺点,正逐步被淘汰。世界上各主要PLC生产厂家几乎都有运动控制功能,它的运动控制功能广泛地应用于各种机械,如金切削机床、金属成型机械、电梯等;随着计算机控制的发展,近年来国外工厂

EDA电梯控制器课程设计报告

成绩评定表

课程设计任务书

摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。 电梯行业也随着科技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对我们掌握EDA技术的应用也有很大的帮助。 关键词:EDA技术电子信息通信自动控制

目录 1、设计要求概述 (1) 1.1 设计要求 (1) 1.2 总体设计思路 (1) 1.3 具体设计思路 (2) 2、功能模块整体结构设计 (2) 2.1 电梯控制器功能 (2) 2.2 电梯控制器设计 (3) 3、各模块详细设计 (3) 3.1底层模块设计 (3) 3.2 顶层模块设计 (6) 4、逻辑仿真与时序仿真的实现 (12) 4.1 底层设计模块的方针及参数设置 (12) 4.2 电梯分层控制模块的仿真及参数设置 (13) 4.3电梯主控制器仿真波形 (15) 5、设计结论 (15) 5.1 设计功能实现情况 (15) 5.2 设计心得 (16) 6、参考文献 (17)

1 电梯控制器的设计要求与设计思路 1.1 设计要求 十层电梯控制器的功能电梯控制器是控制电梯按顾客要求自动上下的装置。 设计要求如下: (1)每层电梯入口处设有上下请求开关,电梯内设有顾客到达层次的停站请求开关。 (2)设有电梯入口处位置指示装置及电梯运行模式(上升或下降)指示装置。(3)电梯每秒升(降)一层楼 (4)电梯到达有停站请求的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续进行,直至执行完最后一个请求信号后停留在当前层。 (5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。 (6)电梯运行规则——当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接升到有下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时则与上升模式相反,只响应比电梯所在位置低的下楼请求信号,由下而下逐个执行,直到最后一个下楼请求执行完毕;如果低层有上楼请求,则直接降到有上楼请求的最低楼层,然后进入上升模式。 (7)电梯初始状态为一层开门状态 1.2 总体设计思路 实验模拟生活中电梯运动控制,电梯总共十层,最简单的控制思想如下: (1)采集用户呼叫楼层,并放入相应的记忆单元中。 (2)不考虑电梯轿厢所在楼层。若有用户呼叫,电梯上行直到达到用户呼叫最大层,再下行直到到达最低层,由此构成一次行程。 (3)每完成一次行程,检测是否所用用户呼叫均已响应完毕。如果没有,电梯继续运行,直到响应完所有用户呼叫。否则,电梯停止运行。其中用户请求包括外部请求和内部请求。有外部升降请求信号需点亮相应的外部请求指示

基于PLC系统电梯控制系统毕业设计论文

本科学生毕业设计(论文) 毕业论文 课题名称:基于PLC的四层电梯控制 班级:07自动化2 学号:08 姓名: 指导教师: 信息工程系

论文摘要 本文介绍一种电梯PLC控制系统。电梯是垂直方向的运输设备,是高层建筑中不可缺少的交通运输设备。它靠电力,拖动一个可以载人或物的轿厢,在建筑的井道内导轨上做垂直升降运动,在人们生活中起着举足轻重的作用。而控制电梯运行的PLC系统也要求越来越高,要求达到电梯运行的“稳、准、快”的运行目的。该系统主要由PLC、逻辑控制电路组成。其中包括交流异步电动机、继电器、接触器、行程开关、按钮、发光指示器和变频器组成为一体的控制系统。本机控制单元采用以三菱公司的可编程控制器PLC对机器进行全过程控制。 整个系统通过PLC、逻辑控制电路对电梯的升降;加、减速;平层;起动、制动控制。其结构简单、运行效率高、平层精度高、易于理解与掌握。

目录 论文摘要 (1) 第四章电梯的电气控制系统 (5) 4.1概述 (5) 4.2电梯电气控制系统中的主要电器部件 (5) 4.3电梯自动控制系统中的各主要控制环节及结构原理 (6) 4.3.1 各类电梯安全可靠运行的充分与必要条件 (6) 4.3.2 电梯自动开关门的控制环节 (6) 4.3.3 电梯的方向控制环节 (7) 4.3.4 发生制动减速信号的控制环节 (9) 4.3.5 主驱动控制环节 (10) 4.3.6 电梯的安全保护环节 (10) 4.4电梯的内外召唤指令的登记与消除 (12) 4.4.1 召唤指令信号登记记忆线路的原理说明 (13) 4.4.2 轿内信号的登记、记忆与消除 (14) 4.4.3 层外召唤信号的登记记忆与消除 (15) 4.5电梯的信号指示系统 (16) 4.5.1 数码显示的层楼指示灯 (16) 4.5.2 运行方向灯、轿内指令及厅外召唤信号灯 (16) 4.5.3 超载信号指示灯及音响 (17) 4.6电梯的消防控制系统 (18) 4.6.1 电梯控制系统中适应消防控制的几个基本要求 (18) 4.6.2 消防控制系统的类型及工作原理 (19) 4.7交流信号控制电梯线路原理说明 (20) 4.7.1 概况 (20) 4.7.2 电梯投入使用和撤出使用 (20) 4.7.3 自动开关门 (20) 4.7.4 电梯的启动,加速和满速运行,制动减速,停车和开门 (21) 4.7.5 指令信号登记,记忆和消除 (22) 4.7.6 电梯的安全保护 (22) 第五章结论 (23) 参考文献 (55) 附录一I/O分配表 (56) 附录二交流双速电梯线路图元件代号说明 (57)

智能电梯控制系统设计

湖南文理学院 课程设计报告 课程名称:自动化系统课程设计专业班级:自动化11班学号 学生姓名: 指导教师: 完成时间:2014年11月20日报告成绩:

目录

一、设计题目 智能电梯控制系统设计 二、设计要求 利用PLC与变频器实现电梯的变频调速控制,该电梯控制系统具有同时呼梯控制、各楼层单独呼梯控制、上升、下降运行控制、轿厢位置显示等功能,电梯至少五层以上。 三、电梯控制系统控制系统设计作用与目的 随着我国经济的高速发展,微电子技术、计算机技术和自动控制技术也得到了迅速发展,电梯也已成为人类现代生活中广泛使用的运输工具。随着人们对电梯运行的安全性、舒适性等要求的提高,电梯得到了快速发展,其拖动技术已经发展到了调频调压调速,其逻辑控制也由PLC代替原来的继电器控制。 可编程控制器(PLC)因为稳定可靠、结构简单、成本低廉、简单易学、功能强大和使用方便已经成为应用最广泛的通用工业控制装置,成为当代工业自动化的主要支柱之一。电梯控制要求接入设备使用简便,对应系统组态的编程简单,具有人性化的人机界面,配备应用程序库,加快编程和调试速度。通过PLC对程序设计,提高了电梯的控制水平,并改善了电梯的电梯运行的舒适感。本文争对以上优点,对电梯运行进行了改进,使其达到了比较理想的控制效果。 四、所用设备及软件 本设计除了需要计算机,实验设备THPFSL-1/2还会用到两款软件:作图软件Altim Desinger、编程软件GX-developer。简介如表1所示。 表1 软件简介

系统总体结构原理图 主控制器是整个电梯的核心。不但要保证整个系统的稳定运行,而且要在极短的时间内对系统所有的任务进行响应。 其任务包括:接收、处理电梯的各种状态,并做出相应的动作,控制电梯的总体运行,实施对电梯驱动部分的控制,包括抱闸的松放、门机的开关、变频器低、中、高速的给出等控制。接收轿厢控制器送来的内选信号,执行内选外呼指令,向轿厢控制器、呼梯控制器发送楼层指示信号,实施安全保护等。为了实现电梯状态监控的需要,主控制器还加入了基于LCD显示的电梯参数设置、监控系统。 程序流程图

基于单片机的智能电梯控制系统设计

基于单片机的智能电梯控制系统设计

摘要 本文介绍了一种采用单片机STC89C52芯片进行电梯控制系统的设计方法,主要阐述如何使用单片机进行编程来实现四层电梯的智能控制,利用单片机编程简洁而又多变的设计方法,缩短了研发周期,同时使电梯控制系统体积更小功能更强大。本设计实现了电梯控制系统所需的一些基本功能,能通过电梯内按键或者电梯外上升、下降按键选择楼层,数码管显示实时楼层数,LED显示实时电梯运行状态。原理图和PCB部分采用protel99se专业软件来设计,实现将设计产品化。本次设计更注重了把一些新的思路加入到设计中。主要包括采用了STC89C52芯片,使用C语言进行编程,使其具有了更强的移植性,更加利于产品升级。 关键词:STC89C52;电梯控制系统;protel99se;C语言

Abstract This paper introduces a design method of using STC89C52 chip for elevator control system, mainly describes how to use microcontroller programming to achieve the intelligent four storey elevator control, the design method of microcontroller programming simple and variable, shortens the development cycle, at the same time that the elevator control system smaller and more powerful. Some of the basic functions of the design and implementation of elevator control system required by the elevator, elevator buttons or rise, decline the key to select the floor, digital tube display real-time number of floors, LED display real-time operating state of elevator. Schematic and PCB design using Protel99SE software, the design of products. This design pays more attention to some new ideas into the design. Including the use of the STC89C52 chip, the use of C language programming, which has portability stronger, more conducive to the upgrading of products. Keywords: STC89C52; elevator control system; Protel99SE; C language

相关文档
最新文档