基于FPGA的SPI串行外围接口接口设计毕业设计

基于FPGA的SPI串行外围接口接口设计毕业设计
基于FPGA的SPI串行外围接口接口设计毕业设计

武汉理工大学本科学生毕业设计(论文)开题报告

目录

摘要.................................................................................. I Abstract ............................................................................. II 1 绪论.. (3)

1.1课题研究背景 (3)

1.2 SPI研究目的及意义 (4)

1.3 本章小结 (4)

2 SPI原理分析 (5)

2.1 SPI介绍 (5)

2.2 SPI工作模式 (6)

2.3 SPI传输模式 (6)

2.4 SPI协议 (7)

2.5 本章小结 (8)

3 方案论证 (10)

3.1在51系列单片机系统中实现 (10)

3.2 用可编程逻辑器件设计SPI (11)

3.3 本章小结 (11)

4 SPI的电路设计 (12)

4.1 SPI设计系统的功能 (12)

4.2 SPI各部分具体实现 (12)

4.2.2 SPI系统中所用的寄存器 (13)

4.2.3 SPI速率控制 (14)

4.2.4 SPI控制状态机 (14)

4.2.5 SPI程序设计流程图 (15)

4.3 SPI仿真及开发板上调试验证分析 (16)

4.3.1 仿真分析 (16)

4.3.2开发板上调试 (18)

4.4 本章小结 (20)

5 论文总结 (21)

致谢 (22)

参考文献 (23)

附录1 (24)

附录2 (28)

摘要

随着专用集成电路(ASIC)设计技术的进步以及超大规模集成电路(VLSI)工艺技术的飞速发展,以及其价格的日益降低,采用FPGA编程的硬件电路来实现诸如SPI接口也日益切实可行,相对软件实现具有更好的优点。SPI接口是一种常用的标准接口,由于其使用简单方便且节省系统资源,很多芯片都支持该接口,SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等。

由于SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照Motorola的SPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的修改)。本文基于一种使用较为普遍的协议来进行设计,并参照Motorola公司的MC68HC11A8单片机中的SPI模块定义来设计的简化的SPI接口,用Verilog语言进行编写设计,并在ISE 软件上进行设计仿真,并在基于Xlinx公司的Spartan-3E芯片的Digilent公司出品的Nexys2开发板上用在线逻辑分析仪chipscope进行板上调试验证观察结果,并完成实现功能,并具有一些独创性的设计。

关键词:FPGA Verilog SPI协议 chipscope ISE

Abstract

With the advances in design technology of ASIC and VLSI’s rapid development, and its price is lower, the hardware circuit based on FPGA programming interface to achieve such as SPI is feasible, and it realizes better than software. SPI interface is a common standard interface, due to its simple and convenient use and save system resource , a lot of chips support this interface. SPI interface is extensively applied in EEPROM, FLASH, real-time clock, AD converter, and digital signal processor and digital signals decoder, etc.

Due to the interface is a fact SPI standard, and it has no standard protocol, most manufacturers design the SPI refer to the interface definition of Motorola's reference.Because of no definite agreement, there is a lot of different versions of the products in the technology exists on SPI interface, easy cause of ambiguity, some even cannot interconnect (need to do some m odification).Based on a common protocols for design, and the company MC68HC11A8 microcontroller of Motorola module definition to design the SPI simplified SPI interface, with Verilog language writing, and in the design of the software design ISE simulation, and based on the Xlinx company Digilent chip Spartan 3E - the Nexys2 development board with online logic analyzers chipscope on board, and debugging validation observations, and complete functionality has some distinctive design.

Keywords:FPGA Verilog SPI protocol chipscope ISE

1 绪论

在研究SPI串行外围接口之前,我们首先要对其背景及其研究的目的要有所了解。1.1课题研究背景

数据传送有串行传送和并行传送两种方。并行传送以其高速度占领了数据传送领域很长一段时间,采用并行传送的集成电路、外围设备可谓不计其数,从CPU、RAM/ROM到打印机。

从原理上讲,串行传输是按位传输方式,只利用一条信号线进行传输,例如:要传送一个字节(8位)数据,是按照该字节中从最高位逐位传输,直至最低位。

而并行传输是一次将所有一字节中8位信号一并传送出去。自然最少需要8根信号线。如果按每次传送的数据流量来看,并行传输要远快于串口,在电脑发展初期,由于数据传输速率不是很高,并行传输还是很快的。

但并行传输也有它的缺点:

(1)干扰问题上面的人已经提到。

其根本原因是由于传输速率太快,一般达到100M以上,信号线上传递的频率将超过100MHz,想想看,调频收音机的频率也不过88~108MHz,也就是说,若用并行传输的话,是8根天线放在一起来传输信号,易发生干扰。但如果加强屏蔽,减小信号线间的耦合电容,是可以继续增大传输速率的,不过这将变得不现实,因为这必然导致信号线将耗用更多金属,截面积更大。但这并不是不能解决的问题。

(2)并行传输速率提升困难的最主要原因是同步问题

并行传输时,发送器是同时将8位信号电平加在信号线上,电信号虽然是以光速传输的,但仍有延迟,因此8位信号不是严格同时到达接受端,速率小时,由于每一字节在信号线上的持续时间较长,这种到达时间上的不同步并不严重,随着传输速率的增加,与8位信号到达时间的差异相比,每一字节的持续时间显得越来越短,最终导致前一字节的某几位与后一字节的几位同时到达接受端,这就造成了传输失败,而且随着信号线的加长这种现象还会越发严重,直至无法使用。——这是并口传输的致命缺点。

串行传输由于只有一位信号在信号线上,没有位同步问题,因此传送频率可以继续提高,当前传输速率已经达到1Gb/s(1000M)以上,而且还在提高,而并行传输在100Mb/s 左右就停滞不前了,可以预见,串行传输将会比并行传输越来越快。

为此,串行传输已经成为当今外设接口的主流传输方式,为此,摩托罗拉公司开发出了同步外设接口(SPI),并随着时间不断改进,由于其占用线的资源少,且稳定可靠,

该总线大量用在与EEPROM、ADC、FLASH和显示驱动器之类的慢速外设器件通信,现在很多单片机等都有SPI模块来连接外围设备,从而使主机与外设传输数据更加方便。

1.2 SPI研究目的及意义

SPI是一种同步串行通讯方式,是一种三线同步总线,它是一种常用的标准接口,由于其使用简单方便且节省系统资源,很多芯片都支持该接口,SPI接口主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间等等。当然,串口通信还有其他的接口方式,S PI接口和UART相比,多了一条同步时钟线,对通信双方的时序要求不严格不同设备之间可以很容易结合,而且通信速度非常快。一般用在产品内部元件之间的高速数据通信上面,如大容量存储器等。这就凸现SPI的好处。为此,研究SPI接口,可以更容易了解并外围器件传输过程,并对其已定义的进行改进,并使之更加可靠,功能强化。

SPI接口的扩展有硬件和软件两种方法,软件模拟SPI接口方法虽然简单方便,但是速度受到限制,在高速且日益复杂的数字系统中,这种方法显然无法满足系统要求,所以采用硬件的方法实现最为切实可行。这使得与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。

SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照Motorola的SPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的额修改)。

当前,基于主从处理器结构的系统架构已经成为一种主流(如 DSP+FPGA,MCU+FPGA 等),FPGA是在ASIC的基础发展出来的,它克服了专用ASIC不够灵活的缺点。与其他中小规模集成电路相比,其优点主要在于它有很强的灵活性,即其内部的具体逻辑功能可以根据需要配置,对电路的修改和维护很方便。目前,FPGA的容量已经跨过了百万门级,使得FPGA成为解决系统级设计的重要选择方案之一。在这种架构下,应用FPGA来构建SPI通信接口是切实可行的。并FPGA是可编程并可重复擦写的,从而具有更大的灵活性,在协议不标准的情况下,可根据外围设备的不同而灵活的改动SPI设计,使设计周期大大降低,并与外围设备连接更加方便。

1.3 本章小结

本章主要介绍了SPI的背景,及研究的目的与意义,进而可以知道SPI提出的目的以及其现阶段的发展状况,从而可以对SPI的这些理解达到举一反三的目的。

2 SPI原理分析

SPI原理主要介绍SPI的基本机构,工作模式,传输模式以及SPI的典型协议,通过此院里的介绍,对于SPI的要点介绍,以及其工作过程有一个通透了解,从而可以能设计达到原理目的的功能设计以及程序的设计。

2.1 SPI介绍

SPI总线系统是一种同步串行外设接口,它可以使MCU与各种外围设备以串行方式进行通信以交换信息。外围设置FLASHRAM、网络控制器、LCD显示驱动器、A/D转换器和MCU等。SPI总线系统可直接与各个厂家生产的多种标准外围器件直接接口,该接口一般使用4条线:串行时钟线(SCK)、主机输入/从机输出数据线MISO、主机输出/从机输入数据线MOST和低电平有效的从机选择线SS(有的SPI接口芯片带有中断信号线INT 或INT、有的SPI接口芯片没有主机输出/从机输入数据线MOSI)。

SPI的通信原理很简单,它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。也是所有基于SPI的设备共有的,它们是SDI(数据输入),SDO(数据输出),SCK(时钟),CS(片选)。

(1)MOSI –主设备数据输出,从设备数据输入

(2)MISO –主设备数据输入,从设备数据输出

(3)SCLK –时钟信号,由主设备产生

(4)CS –从设备使能信号,由主设备控制

其中CS是控制芯片是否被选中的,也就是说只有片选信号为预先规定的使能信号时(高电位或低电位),对此芯片的操作才有效。这就允许在同一总线上连接多个SPI设备成为可能。

接下来就负责通讯的3根线了。通讯是通过数据交换完成的,这里先要知道SPI是串行通讯协议,也就是说数据是一位一位的传输的。这就是SCK时钟线存在的原因,由SCK 提供时钟脉冲,SDI,SDO则基于此脉冲完成数据传输。数据输出通过SDO线,数据在时钟上升沿或下降沿时改变,在紧接着的下降沿或上升沿被读取。完成一位数据传输,输入也使用同样原理。这样,在至少8次时钟信号的改变(上沿和下沿为一次),就可以完成8位数据的传输。

要注意的是,SCK信号线只由主设备控制,从设备不能控制信号线。同样,在一个基于SPI的设备中,至少有一个主控设备。这样传输的特点:这样的传输方式有一个优点,与普通的串行通讯不同,普通的串行通讯一次连续传送至少8位数据,而SPI允许数据一位一位的传送,甚至允许暂停,因为SCK时钟线由主控设备控制,当没有时钟跳变时,从设备不采集或传送数据。也就是说,主设备通过对SCK时钟线的控制可以完成对通讯

的控制。SPI 还是一个数据交换协议:因为SPI 的数据输入和输出线独立,所以允许同时完成数据的输入和输出。不同的SPI 设备的实现方式不尽相同,主要是数据改变和采集的时间不同,在时钟信号上沿或下沿采集有不同定义,具体请参考相关器件的文档。

在点对点的通信中,SPI 接口不需要进行寻址操作,且为全双工通信,显得简单高效。在多个从设备的系统中,每个从设备需要独立的使能信号,硬件上比I2C 系统要稍微复杂一些。

最后,SPI 接口的一个缺点:没有指定的流控制,没有应答机制确认是否接收到数据。

2.2 SPI 工作模式

SPI 由工作方式的不同,可分为两种模式:主模式和从模式

(1) 主模式

将Master 的数据传送给Slave ,8位数据传送,传送完毕,申请中断,如图2.2.1

图 2.2.1 SPI 工作主模式

(2) 从模式

此时,从控制器从SIMO 引脚接收串行数据并把数据移入自身移位寄存器的最低位或最高位。要注意的是,其是在主控制器输出时钟SCLK 的控制下,在SCLK 的上升沿或者下降沿读出一个数据输出给主设备。其传播模型如下图所示:

图 2.2.2 SPI 工作从模式

须注意的是,主设备可以再在任意时刻起动数据发送,因为它控制着SCLK 信号,而在从模式下,从控制器要发送数据,必须要用先设置片选信号以确保使能端CS 输入允许。

2.3 SPI 传输模式

SPI 的工作模式分为主模式和从模式,二者都需要在 SCK 的作用下才能工作;但主模式不需要 CS 信号,而从模式必须在 CS 信号有效的情况下才能完成。不论是在主模式下还是在从模式下,都要在时钟极性(CPOL )和时钟相位(CPHA )

的配合下才能有效地完

成一次数据传输。其中,时钟极性表示时钟信号在空闲时的电平;时钟相位决定数据是在SCK的上升沿采样还是下降沿采样。根据时钟极性和时钟相位的不同组合,可以得到 SPI 总线的4 种工作模式,入图所示:

图2.3.1 SPI四种传输模式

(1)SPI0 模式下的CPOL 为0,SCK的空闲电平为低;CPHA 为0,数据在串行同步时钟的第一个跳变沿(由于CPOL 为低,因此第 1 个跳变沿只能为上升沿)时数据被采样。

(2)SPI1 模式下的CPOL 也为0,SCK的空闲电平为低;但是CPHA 为1,数据在串行同步时钟的第二个跳变沿(由于CPOL 为低,因此第 2 个跳变沿只能为下降沿)时数据被采样。

(3)SPI2 模式下的CPOL 为1,SCK的空闲电平为高;CPHA 为0,数据在串行同步时钟的第1个跳变沿(由于CPOL 为高,因此第 1 个跳变沿只能为下降沿)时数据被采样。

(4)SPI3 模式下的CPOL 为1,SCK的空闲电平为高;CPHA 为1,数据在串行同步时钟的第 2 个跳变沿(由于CPOL 为高,因此第 1 个跳变沿只能为上升沿)时数据被采样。

在上述4 种模式中,使用的最为广泛的是SPI0 和SPI3 方式。由于每一种模式都与其他三种不兼容,因此为了完成主、从设备间的通讯,主、从设备的CPOL 和CPHA 必须有相同的设置。读者需要注意的是:如果主设备/从设备在SCK上升沿发送数据,则从设备/主设备最好在下降沿采样数据;如果主设备/从设备在SCK下降沿发送数据,则从设备/主设备最好在SCK上升沿采样数据。

2.4 SPI协议

SPI接口是一种事实标准,并没有标准协议,大部分厂家都是参照Motorola的SPI接口定义来设计的,但正因为没有确切的版本协议,不同厂家产品的SPI接口在技术上存在一定的差别,容易引起歧义,有的甚至无法互联(需要用软件进行必要的额修改)。本次设计基于一种使用较为普遍的协议来进行设计,通过简单协议来理解并设计SPI接口功能。

SPI 协议是一个环形总线结构,其时序其实比较简单,主要是在时钟脉冲 SCK 的控

制下,两个双向移位寄存器 SPI 数据寄存器数据 进行数据交换。我们假设主机的 8 位寄存器 SPIDATA1 内的数据是10101010,而从机的 8 位寄存器 SPIDATA2 内的数据是 01010101,在上升沿的时候发送数据,在下降沿的时候接收数据,最高位的数据先发送,主机和从机之间全双工通信,也就是说两个 SPI 接口同时发送和接收数据,如图 所示。从图中我们也可以看到,SPIDATA 移位寄存器总是将最高位的数据移出,接着将剩余的数据分别左移一位,然后将接收到得数据移入其最低位。

图2.4.1 SPI 的环形总线结构

如图 所示,当第一个上升沿来的时候,SPIDATA1 将最高位 1 移除,并将所有数据左移1位, 这时 MOSI 线为高电平,而 SPIDATA2 将最高位 0 移出, 并将所有数据左移 1 位, 这样 MISO 线为低电平。然后当下降沿到来的时候,SPIDATA1 将锁存 MISO 线上的电平,并将其移入其最低位,同样的,SPIDATA2 将锁存 MOSI 线上的电平,并将其移入最低位。经过 8 个脉冲后,两个移位寄存器就实现了数据的交换,也就是完成了一次 SPI 的时序。

图2.4.2数据传输示例

2.5 本章小结

本章通过对SPI 的原理分析,介绍其首发原理及基本结构,再介绍其工作模式,再介绍其传输模式和协议距离。通过以上分析,便可以知道本次设计的SPI 所必须具备的功能有哪些,哪些是需要注意的功能。从而可以确定本次设计的工作模式,以及设计所的传输模式,并且通过介绍的协议举例来通过此协议来设计SPI 系统结构。通过本章的分析,本次设计确定为主模式,及主控模式的设计,并且四种传输模式均需要实现,并且遵循SPI

第一个下降MSB LSB SPIDATA2

协议,按照此协议来设计SPI结构。以此,变可以进行SPI结构设计了。

3 方案论证

对于SPI接口设计主要分为两大类,利用单片机如51系列单片机实现和利用FPGA 等可编程逻辑器件编程实现,下面将分别介绍两种方法的方法。

3.1在51系列单片机系统中实现

对于不带SPI串行总线接口的MCS51系列单片机来说,可以使用软件来模拟SPI的操作,包括串行时钟、数据输入和数据输出。对于不同的串行接口外围芯片,它们的时钟时序是不同的。对于在SCK的上升沿输入(接收)数据和在下降沿输出(发送)数据的器件,一般应将其串行时钟输出口P1.1的初始状态设置为1,而在允许接口后再置P1.1为0。这样,MCU在输出1位SCK时钟的同时,将使接口芯片串行左移,从而输出1位数据至MCS51单片机的P1.3口(模拟MCU的MISO线),此后再置P1.1为1,使MCS51系列单片机从P1.0(模拟MCU的MOSI线)输出1位数据(先为高位)至串行接口芯片。至此,模拟1位数据输入输出便宣告完成。此后再置P1.1为0,模拟下1位数据的输入输出……,依此循环8次,即可完成1次通过SPI总线传输8位数据的操作。对于在SCK的下降沿输入数据和上升沿输出数据的器件,则应取串行时钟输出的初始状态为0,即在接口芯片允许时,先置P1.1为1,以便外围接口芯片输出1位数据(MCU接收1位数据),之后再置时钟为0,使外围接口芯片接收1位数据(MCU发送1位数据),从而完成1位数据的传送。

图3.1.1 基于MCS51单片机的SPI设计模型

图3.1.1所示为MCS51系列单片机与存储器X25F008(E2PROM)的硬件连接图,图2中,P1.0模拟MCU的数据输出端(MOSI),P1.1模拟SPI的SCK输出端,P1.2模拟SPI的从机选择端,P1.3模拟SPI的数据输入端(MISO)。下面介绍用MCS51单片机的汇编语言模拟SPI串行输入、串行输出和串行输入/输出的3个子程序,实际上,这些子程序也适用于在串行时钟的上升沿输入和下降沿输出的其它各种串行外围接口芯片(如A/D转换芯片、网络控制器芯片、LED显示驱动芯片等)。对于下降沿输入、上升沿输出的各种串行外围接口芯片,只要改变P1.1的输出电平顺序,即先置P1.1为低电平,之后再次置P1.1为高电平,再置P1.1为低电平……,则这些子程序也同样适用。

3.2 用可编程逻辑器件设计SPI

除了编程方法,还可以用FPGA设计SPI,及用可编程逻辑的方法设计硬件来用单片机直接用简单的程序来应用外围硬件来实现SPI传输。通过对SPI的结构的了解可以设计一个系统框架,按照框架完成输入输出的功能。

一般一个典型的SPI系统如下图所示,其主要包括MPU和一个或几个外围器件,SPI 一端与MPU接口相连,另一端便是负责传输的四条线了。当此单片机处于主机模式时,就能与从机进行通信,当此单片机处于从机模式时,就能与另一主机通信。总之,一个系统中只有一个主机,否则无法工作。用FPGA设计的系统框架如下图3.2.1所示:

图3.2.1 介于SPI的FPGA设计系统框架

以上两种便是两种实现SPI的方法,在实际应用中,许多单片机已经包括了SPI接口,可见SPI接口应用很广泛,只要高级点的单片机,都自带SPI接口,并且如DSP等也集成了SPI接口,可见大多芯片都趋向于用硬件实现而非软件实现。这是因为软件模拟SPI接口方法虽然简单方便,但是速度受到限制,在高速且日益复杂的数字系统中,这种方法显然无法满足系统要求,所以采用硬件的方法实现最为切实可行。这使得与SPI有关的软件就相当简单,使CPU有更多的时间处理其他事务。在可编程逻辑器件不断地完善改善完美并且功能强大且日益便宜,用硬件实现已经成为可能,在大型系统用硬件实现更加稳定。同时可编程逻辑也有自身的优点。在外围器件有改变时,由于可编程逻辑可以重复擦鞋的优点,从而在外围器件有些许改变之时,可以同时稍微改变可编程的程序,因而可编程又有灵活性大的特点。因此,本次设计便采用可编程逻辑的方案。

3.3 本章小结

本次设计介绍了软件硬件两种方法设计SPI,通过此分析,可以知道SPI的设计方法有哪些方法,通过比较可以得出每种设计的优劣。软件设计简单,但有其缺点,硬件设计相比复杂些,但是其灵活性强,可以让微处理器省出资源。

4 SPI的电路设计

电路设计主要是对系统设计的功能,各部分功能具体实现方案比如管脚说明,寄存器的说明即设计,以及状态机的动态描述,由各个功能的说明便可设计出本次SPI设计的流程图,由流程图便可以写出我们所需要的程序。

4.1 SPI设计系统的功能

本次设计具有一定的独创性,有着MC68HC11A8单片机SPI接口的全部功能,并在其四种传输速率中扩展了另外八种速率,使速率选择更加灵活。其主要功能如下:·本次设计为主控模式

·与摩托拉罗说明规格部分一致

·并增强了MC68HC11A8单片机SPI接口功能

·添加中断,当每完成一个数据产生中断信号,确保微处理器读完数据后再发送接收数据

·提供了12种速率选择

·提供极性相位选择,支撑四种传输模式

·充分综合

4.2 SPI各部分具体实现

如总系系统框架所示,其管脚都列其上,其管脚功能见下表4.2.1所示:

表4.2.1 SPI设计管脚

其中,需要强掉的是,adr_i端输入不同,便使系统处于写数据状态还是写指令状态,指令是写给SPI设计的控制寄存器,以使SPI具有不同的功能。

4.2.2 SPI系统中所用的寄存器

本次设计中SPI用到四种寄存器,包括SPCR(SPI控制寄存器)、SPER(SPI扩展寄存器)、treg(SPI数据传输/接收寄存器)其功能如下所示:

(1)控制寄存器

本次设计时参照MC68HC11A8单片机的SPI结构进行设计,并进行了一些改进,所以本次设计的控制寄存器的控制位与MC68HC11A8单片机的控制位大致相似,同时进行了一些扩展和不同的功能,扩展在扩展寄存器中再做介绍,其控制寄存器的控制位如下表4.2.2所示:

表4.2.2 SPI设计的控制寄存器

其各功能如下:

·spie :当此为被置位为1时,则中断允许,即允许中断,当为0时,禁止中断。

‘0’:禁止中断

‘1’:允许中断

·spe :当此位被置位为1时,则系统运行,当置位为0时,系统不运行。

‘0’:系统运行允许

‘1’:系统允许禁止

·msb:此为为在传输时是最高位线发送还是最低位先发送。当为1时,是最高位先发送,当为1时,是最低位先发送。

‘0’:先发送最低位

‘1’:先发送最高位

·mstr:此为主从模式选择位,在本次设计中,本次设计只是工作于主控设备,故此为始终置1即可。

·cpol:此为系统在空闲时的极性,当为0时,其为低电平为空闲时的极性,当为1时,其为高电平为空闲时的极性。

‘0’:空闲时为低电平

‘1’:空闲时为高电平

·spr:此为速率选择为,其与扩展寄存器组合成速率选择,其可为00,01,10,11,与扩展此寄存器组合成一组数列来选择数据传输速率。此刻在速率控制中详细介绍。

(2)扩展寄存器

扩展寄存器的八位只用了两位,其用于与控制寄存器的spr组合成一列数据控制数据传输速率,其组合方式为espr = {spre, espr},四位此而控制16种传输速率,此在速率控制中详细介绍。

(3)状态寄存器

状态寄存器本次设计中只去了一位spi_i中断位,其与spie允许情况下允许中断,

中断位传给int_o从而保证单片机完成读取数据后在重新传输数据,从而保证每位数据传输完毕,保证数据读取后才能传送下一位数据。

4.2.3 SPI速率控制

速率控制为控制寄存器的低两位和扩展寄存器的低两位共同控制的,本次设计通过此四位的控制一共支持12种速率,其为系统时钟的N次分频。

本次将每一个控制赋值给clkcnt寄存器,并起每一个时钟减一,当为0是从而影响控制位状态机ena = ~|clkcnt,当ena为1是则执行状态机,从而达到分频目的其详细控制如表4.2.3下:

表4.2.3 SPI的速率控制

由于每一个时钟上升沿执行一次,从而每个完整时钟sck_o执行一次跳变,顾其为2的n 此分频,如上表所示。

4.2.4 SPI控制状态机

SPI控制状态机是本次设计的核心部分,其实整个设计的可以说是大脑,控制着整个程序的执行过程和完成设计实现功能。控制状态机主要用于片选信号cs的选择,和输出时钟sck的产生,以及数据载入和输出等。它控制各个模块的状态,然后根据相应的状态做出相应的操作。

在状态机运行之前,及数据传输之前,所作的工作便是初始化工作,即必须先确定允许中断,允许系统运行,设置先发送的数据位,设置极性相位和速率,即设置控制寄存器使之系统进入正常运行状态,首先必须设置spe位为1,即只有其为1时系统才运行,当达到adr[1:0]=10时,便是发送接收数据的命令。此时进入状态机的空闲状态2’b00,在空闲状态,所作的工作是设置空闲的时的极性和相位,完成后便进入发送准备载入发送数

据阶段2’01。此时为达到控制速率,使用一ena =~|clkcnt允许位,只有其为1时,才执行此状态的程序,否则保持,在此状态中,所作的工作是反向sck信号,载入数据,选中信号片选信号cs端,变开始发送接收数据,同时此时的第一位发送,便进入2’b10状态。此状态时为配置sck信号,使sck信号输出脉冲与发送数据脉冲匹配,从而可在sck 的上升沿或下降沿锁存数据并发送数据。完成后进入到接受数据状态2’b11,此时为发送数据的核心状态,其数据接收传输寄存器移位完成数据的接收,在接收的同时也发送了一位数据,当发送完八次后变进入产生一个中断位,当单片机读取后变从新设置spe为0并清除中断标志位并清零后再次置1变开始下一个八位传输接收。少于八次则保留到当前状态。其状态图如下图4.2.1所示:

图4.2.1 传输数据所用过的状态机

4.2.5 SPI程序设计流程图

由上分析,可知,首先是设置控制寄存器,设置系统允许,spe为1时系统运行,当adr_i为收发命令时,在满足条件是便开始传送数据,在传送数据最重要的是sck信号产生以及收发信号的完成,以及收发信信号与sck信号的匹配。考虑到这些,其流程图如下图4.2.2所示:

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

基于FPGA的数字存储示波器的设计毕业设计

本科生毕业设计 基于FPGA的数字存储示波器的设计Design a digital oscillograph based on FPGA

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的液晶显示设计毕业设计论文

诚信申明 本人申明: 我所呈交的本科毕业设计(论文)是本人在导师指导下对四年专业知识而进行的研究工作及全面的总结。尽我所知,除了文中特别加以标注和致谢中所罗列的内容以外,论文中创新处不包含其他人已经发表或撰写过的研究成果,也不包含为获得北京化工大学或其它教育机构的学位或证书而已经使用过的材料。与我一同完成毕业设计(论文)的同学对本课题所做的任何贡献均已在文中做了明确的说明并表示了谢意。 若有不实之处,本人承担一切相关责任。 本人签名:年月日

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

基于FPGA的MCU设计毕业设计

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

通信工程专业毕业设计题目列举

通信专业毕业设计1视频编码算法AVS 2视频编码算法H.264 3嵌入式操作系统Android移植 4以太网控制器的FPGA实现 5手持式读卡器设计,手持式打印机设计 1基于超球支持向量机的语音识别系统 2支持向量机与纠错编码结合用于多类分类 3基于双极性的二重水印算法 4多功能健身计步鞋设计 5语音情感识别的研究 6 CATV 网络HFC系统NEC模块的应用 7 CATV 网络HFC系统NXP模块的应用 8 CATV 网络HFC系统RFMD模块的应用 9 FTTH 网络中数显AGC光接机原理及设计 10 FTTH 网络中楼栋光接收机 1.智能化医疗诊断系统的设计与实现 2.多媒体数据压缩方法研究

3.多媒体数据压缩方法研究 4.移动衰落信道随机信道模型的建模与实现 5.移动衰落信道确定性信道模型的建模与实现 6.信道估计技术研究 1.基于谱减法的语音增强及DSP实现 2.基于子空间的语音增强算法的研究 3.噪声估计算法及Matlab实现 4.基于小波变换的语音增强算法 5.基于ICA的语音增强及Matlab实现 6.高速公路上的3G信号覆盖的研究 7.基于卡尔曼滤波的语音增强及实现 8.基于短时谱的语音增强算法的研究及Matlab实现 1、基于GSM网络的汽车防盗系统 2、电子标签销码器的设计 3、语音报站器的设计与实现 4、基于Matlab的通信系统的设计与实现 5、基于Matlab的维纳滤波器设计与实现 6、基于Matlab的数字信号仿真 1.音频数字水印技术研究及MATLAB实现

2.图像数字水印技术研究及MATLAB实现 3.灰度直方图特征提取算法及其在医学图像分析中的应用4.基于DSP的数字图像直方图均衡化增强算法研究 5.基于多尺度小波的图像增强算法研究 6.基于维纳滤波的图像增强算法研究 一、身份认证服务器认证服务模块开发 二、身份认证服务器认证配置管理模块开发 三、身份认证服务器客户端插件开发 四、身份认证服务器认证插件(Agent)开发 五、基于蓝牙的手机KEY双因子认证系统研究 六、基于WIFI的手机KEY双因子认证系统研究 1.医院放射科信息管理系统(RIS)需求分析与系统设计2.非DICOM图像格式DICOM转换方法 3.医学影像中人体器官边缘检测 4.方向纹理图像轮廓识别的预处理方法 5.基于可视化工具软件VTK的三维型体绘制方法 6.网上银行身份认证技术安全性分析 1、信息化机房动力环境监测监控系统-风流分析 2、信息化机房动力环境监测监控系统-电力保障

电子信息工程毕业设计题目大全

1 压力容器液位测量 2 多功能遥控小车 3基于RS232的仓库多点温度、湿度、气 4压检测系统 5自动控制升降旗系统 6基于RS485的温度报警系统 7基于模糊算法的水温控制系统的设计 8多分机电话交换机 9简易火灾自动报警系统 10基于单片机的电话智能控制器 11电子称 12红外线自动调光台灯 13红外触摸屏 14电子抢答器 15滚动式广告窗控制 16家庭无线控制红外光栅报警系统 17用单片机控制的多路测温测控仪 18函数信号发生器 19基于模糊PID的注塑机温度控制系统 20基于单片机的数字人体心率计的设计与实现 21基于状态机的语音电子密码锁设计 22多功能语音提醒器 23智能窗帘 24数控直流稳压电源的设计 25数控恒流源设计(或波形发生器) 26校园时间作息系统 27单片机方向 (信号发生器或教室灯光管理系统) 28温、湿度测量毫欧表 29全数字控制稳压电源 30基于FPGA的多波形发生器 31基于单片机控制的家庭电表 32基于单片机的温度测试系统在温室中的设计与实现33无线遥控电压值的多档变换 34固定电话来电显示记录系统 35遥控密码锁的设计 36基于AT89S52单片机的数字电压表的设计 37基于单片机AT89S52的数字温度计设计 38基于单片机的多功能数字钟(改后的题目) 39电子密码锁的设计 40基于单片机的交通灯控制系统 41数字存储示波器 42基于DSP的指纹识别 43LCD数据截取及图像合成装置的设计与实现

44程控开关电源 45基于MATLAB的FIR滤波器设计 46语言特征参数提取及识别 47基于小波分析的语言信号去噪 48基于MATLAB的IIR滤波器的设计与仿真 49基于MATLAB的频谱分析和信号去噪 50数字语言识别技术探讨与发展预测 51简易数字存储示波器 52声控处理技术应用于语音存储回放系统的研究 53智能交直流电压表 54基于超声波检测的倒车雷达设计 55数字式直流电机调速控制系统数控恒流源 用SPCE061A实现简易电子书的设计 基于AVR单片机的通用智能充电器的设计 单片机无线呼叫系统的设计 一种用烟雾感应和湿度感应来控制的自动开关窗的设计 光控路灯的设计 循环码编码译码仿真研究 基于单片机控制的数字气压计 基于单片机的锂离子电池充电器的设计 基于FPGA实现可扩展高速FFT处理器的研 基于GPS公交陈报站监控系统开发 基于GSM短消息的远程数据采集传输系统 基于GSM短信模块的家庭防盗报警系统 基于GSM短信模块的家庭防盗报警系统 基于HS3的虚拟示波器 基于IC卡的数据据采集系统 基于IC卡的数据据采集系统--现场数据采集系统基于Linux的嵌入式操作系统研究基于MSTP多业务节点平台设计与实现 基于nRF24E1无线耳机的设计 基于PC机的智能抢答器 基于PIC16F877A单片机温度监控系统的设计 基于PLC的化肥袋装控制系统 基于PLC技术工厂污水处理系统 基于ProE鼠标上盖制品及模具的三维造 基于uClinux的GPS车载导航系统设计与基于USB总线的高速数据采集系设计 基于Windows CE的智能家居安防统 基于Winsock操作系统上的网程 基于单片机的FTU测控 基于单片机的创新出租车计价器 银行排队叫号系统的设计 基于labview的虚拟滤波器的设计 基于单片机的语音播报温度湿度系统的设计

基于FPGA的音频处理系统设计(毕业设计开题报告)

基于FPGA的音频处理系统设计 1 课题来源: 随着数字记录技术和大规模集成电路技术的迅速发展,消费类电子产品正以日新月异的新姿展现在当代人的面前,音响类娱乐产品的多样化、小型化与数字化及品种的琳琅满目丰富了音响产品市场,满足了多层次消费者的不同需要。在这些科技产品的快速发展过程中,数字音频技术在其中扮演着重要的角色。 现在音频处理技术的任务越来越复杂,对信号处理的效果要求不断提高,音频处理技术的算法也越来越复杂,要求在几十ms甚至几ms的时间内完成音频信号大量的数据采集、处理、存储、传输,这就对音频处理系统处理器的运算速度提出了更高的要求。 2 研究的目的和意义: 随着消费电子的快速发展,数字音频技术的应用显得越来越重要,对数字音频技术的研究符合市场与科技需求。数字音频处理技术涉及生活的方方面面,包括滤波器技术、数字信号处理、人工智能、模式识别、编码学、等多个学科的知识,是信息化技术类学科当中发展极为迅速的一个方向之一。音频信号处理技术包含的内容非常多,主要有信号存储、语音合成、语音识别、音频压缩、语音理解、音频编码、语音识别、语音增强等多个分支,总而言之,音频信号处理技术包括音频信号的数字化处理、数字化实现、数字化变换、数字化存储、数字化传播、及音频的变换、语音的处理、语音的识别等自然科学多个领域的综合运用。 传统的数字滤波器采用乘法和累加结构,需要进行多次的乘法和加法运算。由于乘法器庞大的结构,占用了系统芯片上的大部分面积,消耗了大部分功率,使得音频处理系统在体积和处理速度上存在着不足,所以传统的数字滤波器不能很好的满足家用和便携式音频处理器对体积小、功耗小信号处理速度高的要求。而近些年来使用范围越来越广泛,技术越来越成熟的FPGA器件对于解决对于解决音频信号的高标准、高要求有着其独特的优势。基于FPGA器件的音频信号处理的实现方案,在于对声音信号的收集、处理及应用,工作的重点是在噪声环境中如何

基于FPGA相关的毕设论文文献翻译

2009年国际信息和多媒体技术会议 基于FPGA的数字调频调制解调器 Indranil Hatai 电子和电气通信工程 印度理工学院kharagpur - 721302,印度indranilh@cse.iitkgp.ernet.in Indrajit Chakrabarti 电子和电气通信工程 印度理工学院kharagpur - 721302,印度indrajit@ece.iitkgp.ernet.in 摘要:本文介绍了一款高性能可编程数字调制解调器,这款调制解调器是基于FPGA实现的,主要用于软件无线电应用程序方面。该设计具有可重复编程、面积优化和低功耗等特点。这款调制器和解调器包含一个可直接压缩的数字合成器(DDS),可生成的载波频率的自由动态范围超过了70分贝。解调器是在数字锁相环(DPLL)技术的基础上实现。同样地,DDS也被用来产生调制解调的载波信号。文中所提及的调频调制解调器已经在Virtex2Pro实验板上进行了实现和测试。所实现的调频调制解调器可以运行的最大频率达到103 MHz,而占用的门阵列资源等效到XC2VP-30系列FPGA开发板上仅有8K大小。 关键字:FM SDR FPGA DPLL DDS I.简介 频率调制/解调技术被广泛应用于(PMR)标准下的DAB-T和私人移动无线电方面。传统的模拟调频主要是用来完成音频广播。但在模拟调频调制方案使用压控振荡器(VCO)时,困难出现了。任何音频广播中最主要考虑的问题是音频或声音的清晰度问题。由于线性的VCO工作在所要求的频率范围内时,性能出现了明显降低,使用VCO很难获得一个清晰的调频调制和解调信号。因此,基于数字技术实现调频调制方案的发展逐渐取代了传统的模拟调制。现在通过数字调频调制器架构,能够实现对任何音频声音都能具有优越的性能和良好的清晰度,这样的广播系统方案被广泛应用起来。 为了确保在整个频率范围内的线性,设计师通常采用DDS技术来替换VCO,因此这种控制也被称为数控振荡器(NCO)。目前,此项研究已经基于不同的数字调制解调器架构来开展了。而且其中的某些还突出了一些特色,如减少由于在进出DDS时的数码分辨率问题而引起的失真量化噪声的影响。他们中的一些人还讨论了有关区域优化和低功率消耗等性能方面的问题。就目前而言,基于FPGA载体,实现支持SDR的音频广播系统,同时兼具低功耗和低占有率特色的数字调制解调器已经成型。 基于不同调频架构体系的解调器的研究工作正不断将调频系统集成化,但是他们中的大多数是对模拟信号的处理,且处理精度有限。准确地识别当前信号频率与调频调制信号的中心频率微小的频率偏移是FM解调技术的关键问题。PLL 锁相环技术正是最常用的调频信号解调技术之一。锁相环可以跟踪信号相位和频

相关文档
最新文档