半导体工艺词汇

半导体工艺词汇
半导体工艺词汇

半导体工艺词汇

Scrubb 【化学】(使)(气体)净化;(从气体中)分离出,提出。Regulator 校准者;【机械工程】调整器,校准器,调节器;【无线电】稳定器;【化学】调节剂;【代】调节基因;(钟表的)整时器;标准钟

Purge 变清净。

A

Abrupt junction 突变结

Accelerated testing 加速实验

Acceptor 受主

Acceptor atom 受主原子

Accumulation 积累、堆积

Accumulating contact 积累接触

Accumulation region 积累区

Accumulation layer 积累层

Active region 有源区

Active component 有源元

Active device 有源器件

Activation 激活

Activation energy 激活能

Active region 有源(放大)区

Admittance 导纳

Allowed band 允带

Alloy-junction device合金结器件

Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物

Aluminum passivation 铝钝化

Ambipolar 双极的

Ambient temperature 环境温度

Amorphous 无定形的,非晶体的

Amplifier 功放扩音器放大器

Analogue(Analog) comparator 模拟比较器

Angstrom 埃

Anneal 退火

Anisotropic 各向异性的

Anode 阳极

Arsenic (AS) 砷

Auger 间歇

Auger process 间歇过程

Avalanche 雪崩

Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

B

Background carrier 本底载流子

Background doping 本底掺杂

Backward 反向

Backward bias 反向偏置

Ballasting resistor 整流电阻

Ball bond 球形键合

Band 能带

Band gap 能带间隙

Barrier 势垒

Barrier layer 势垒层

Barrier width 势垒宽度

Base 基极

Base contact 基区接触

Base stretching 基区扩展效应

Base transit time 基区渡越时间

Base transport efficiency基区输运系数

Base-width modulation基区宽度调制

Basis vector 基矢

Bias 偏置

Bilateral switch 双向开关

Binary code 二进制代码

Binary compound semiconductor 二元化合物半导体Bipolar 双极性的

Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫

Blocking band 阻挡能带

Blocking contact 阻挡接触

Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合

Bonding electron 价电子

Bonding pad 键合点

Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃

Boundary condition 边界条件

Bound electron 束缚电子

Breadboard 模拟板、实验板

Break down 击穿

Break over 转折

Brillouin 布里渊

Brillouin zone 布里渊区

Built-in 内建的

Build-in electric field 内建电场

Bulk 体/体内

Bulk absorption 体吸收

Bulk generation 体产生

Bulk recombination 体复合

Burn - in 老化

Burn out 烧毁

Buried channel 埋沟

Buried diffusion region 隐埋扩散区

C

Can 外壳

Capacitance 电容

Capture cross section 俘获截面

Capture carrier 俘获载流子

Carrier 载流子、载波

Carry bit 进位位

Carry-in bit 进位输入

Carry-out bit 进位输出

Cascade 级联

Case 管壳

Cathode 阴极

Center 中心

Ceramic 陶瓷(的)

Channel 沟道

Channel breakdown 沟道击穿

Channel current 沟道电流

Channel doping 沟道掺杂

Channel shortening 沟道缩短

Channel width 沟道宽度

Characteristic impedance 特征阻抗

Charge 电荷、充电

Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒

Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法

Chemically-Polish 化学抛光

Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片

Chip yield 芯片成品率

Clamped 箝位

Clamping diode 箝位二极管

Cleavage plane 解理面

Clock rate 时钟频率

Clock generator 时钟发生器

Clock flip-flop 时钟触发器

Close-packed structure 密堆积结构

Close-loop gain 闭环增益

Collector 集电极

Collision 碰撞

Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益

Common-mode input 共模输入

Common-mode rejection ratio (CMRR) 共模抑制比Compatibility 兼容性

Compensation 补偿

Compensated impurities 补偿杂质

Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路Complementary

Metal-Oxide-Semiconductor

Field-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试 /制造

Compound Semiconductor 化合物半导体

Conductance 电导

Conduction band (edge) 导带(底)

Conduction level/state 导带态

Conductor 导体

Conductivity 电导率

Configuration 组态

Conlomb 库仑

Conpled Configuration Devices 结构组态

Constants 物理常数

Constant energy surface 等能面

Constant-source diffusion恒定源扩散

Contact 接触

Contamination 治污

Continuity equation 连续性方程

Contact hole 接触孔

Contact potential 接触电势

Continuity condition 连续性条件

Contra doping 反掺杂

Controlled 受控的

Converter 转换器

Conveyer 传输器

Copper interconnection system 铜互连系统

Couping 耦合

Covalent 共阶的

Crossover 跨交

Critical 临界的

Crossunder 穿交

Crucible坩埚

Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格

Current density 电流密度

Curvature 曲率

Cut off 截止

Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样

Curvature 弯曲

Custom integrated circuit 定制集成电路

Cylindrical 柱面的

Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

D

Dangling bonds 悬挂键

Dark current 暗电流

Dead time 空载时间

Debye length 德拜长度

De.broglie 德布洛意

Decderate 减速

Decibel (dB) 分贝

Decode 译码

Deep acceptor level 深受主能级

Deep donor level 深施主能级

Deep impurity level 深度杂质能级

Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体

Degeneracy 简并度

Degradation 退化

Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度

Delay 延迟

Density 密度

Density of states 态密度

Depletion 耗尽

Depletion approximation 耗尽近似

Depletion contact 耗尽接触

Depletion depth 耗尽深度

Depletion effect 耗尽效应

Depletion layer 耗尽层

Depletion MOS 耗尽MOS

Depletion region 耗尽区

Deposited film 淀积薄膜

Deposition process 淀积工艺

Design rules 设计规则

Die 芯片(复数dice)

Diode 二极管

Dielectric 介电的

Dielectric isolation 介质隔离

Difference-mode input 差模输入

Differential amplifier 差分放大器

Differential capacitance 微分电容

Diffused junction 扩散结

Diffusion 扩散

Diffusion coefficient 扩散系数

Diffusion constant 扩散常数

Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路

Dipole domain 偶极畴

Dipole layer 偶极层

Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体

Direct transition 直接跃迁

Discharge 放电

Discrete component 分立元件

Dissipation 耗散

Distribution 分布

Distributed capacitance 分布电容

Distributed model 分布模型

Displacement 位移

Dislocation 位错

Domain 畴

Donor 施主

Donor exhaustion 施主耗尽

Dopant 掺杂剂

Doped semiconductor 掺杂半导体

Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移

Drift field 漂移电场

Drift mobility 迁移率

Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化

Dose 剂量

Duty cycle 工作周期

Dual-in-line package (DIP)双列直插式封装

Dynamics 动态

Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

E

Early effect 厄利效应

Early failure 早期失效

Effective mass 有效质量

Einstein relation(ship) 爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

Electrode 电极

Electrominggratim 电迁移

Electron affinity 电子亲和势

Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气

Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心

Electron Volt (eV) 电子伏

Electrostatic 静电的

Element 元素/元件/配件

Elemental semiconductor 元素半导体

Ellipse 椭圆

Ellipsoid 椭球

Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑

Emitter-coupled pair 发射极耦合对

Emitter follower 射随器

Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试

Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式

Enhancement MOS 增强性MOS

Entefic (低)共溶的

Environmental test 环境测试

Epitaxial 外延的

Epitaxial layer 外延层

Epitaxial slice 外延片

Expitaxy 外延

Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可擦取(编程)存储

Error function complement 余误差函数Etch 刻蚀

Etchant 刻蚀剂

Etching mask 抗蚀剂掩模

Excess carrier 过剩载流子

Excitation energy 激发能

Excited state 激发态

Exciton 激子

Extrapolation 外推法

Extrinsic 非本征的

Extrinsic semiconductor 杂质半导体

F

Face - centered 面心立方

Fall time 下降时间

Fan-in 扇入

Fan-out 扇出

Fast recovery 快恢复

Fast surface states 快界面态

Feedback 反馈

Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)

Field effect transistor 场效应晶体管

Field oxide 场氧化层

Filled band 满带

Film 薄膜

Flash memory 闪烁存储器

Flat band 平带

Flat pack 扁平封装

Flicker noise 闪烁(变)噪声

Flip-flop toggle 触发器翻转

Floating gate 浮栅

Fluoride etch 氟化氢刻蚀

Forbidden band 禁带

Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应

Function 函数

G

Gain 增益

Gallium-Arsenide(GaAs) 砷化钾

Gamy ray r 射线

Gate 门、栅、控制极

Gate oxide 栅氧化层

Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸

Germanium(Ge) 锗

Graded 缓变的

Graded (gradual) channel 缓变沟道

Graded junction 缓变结

Grain 晶粒

Gradient 梯度

Grown junction 生长结

Guard ring 保护环

Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

H

Hardened device 辐射加固器件

Heat of formation 形成热

Heat sink 散热器、热沉

Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂

Hell - effect 霍尔效应

Heterojunction 异质结

Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.( H-MOS)高性能MOS.

Hormalized 归一化

Horizontal epitaxial reactor 卧式外延反应器

Hot carrior 热载流子

Hybrid integration 混合集成

I

Image - force 镜象力

Impact ionization 碰撞电离

Impedance 阻抗

Imperfect structure 不完整结构

Implantation dose 注入剂量

Implanted ion 注入离子

Impurity 杂质

Impurity scattering 杂志散射

Incremental resistance 电阻增量(微分电阻)

In-contact mask 接触式掩模

Indium tin oxide (ITO) 铟锡氧化物

Induced channel 感应沟道

Infrared 红外的

Injection 注入

Input offset voltage 输入失调电压

Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET

Integrated injection logic集成注入逻辑

Integration 集成、积分

Interconnection 互连

Interconnection time delay 互连延时

Interdigitated structure 交互式结构

Interface 界面

Interference 干涉

International system of unions国际单位制

Internally scattering 谷间散射

Interpolation 内插法

Intrinsic 本征的

Intrinsic semiconductor 本征半导体

Inverse operation 反向工作

Inversion 反型

Inverter 倒相器

Ion 离子

Ion beam 离子束

Ion etching 离子刻蚀

Ion implantation 离子注入

Ionization 电离

Ionization energy 电离能

Irradiation 辐照

Isolation land 隔离岛

Isotropic 各向同性

J

Junction FET(JFET) 结型场效应管

Junction isolation 结隔离

Junction spacing 结间距

Junction side-wall 结侧壁

Latch up 闭锁

Lateral 横向的

Lattice 晶格

Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常数/晶格缺陷/晶格畸变

Leakage current (泄)漏电流

Level shifting 电平移动

Life time 寿命

linearity 线性度

Linked bond 共价键

Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技

Lithography 光刻

Light Emitting Diode(LED) 发光二极管

Load line or Variable 负载线

Locating and Wiring 布局布线

Longitudinal 纵向的

Logic swing 逻辑摆幅

Lorentz 洛沦兹

Lumped model 集总模型

M

Majority carrier 多数载流子

Mask 掩膜板,光刻板

Mask level 掩模序号

Mask set 掩模组

Mass - action law质量守恒定律

Master-slave D flip-flop主从D触发器

Matching 匹配

Maxwell 麦克斯韦

Mean free path 平均自由程

Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间Megeto - resistance 磁阻

Mesa 台面

MESFET-Metal Semiconductor金属半导体FET Metallization 金属化

Microelectronic technique 微电子技术Microelectronics 微电子学

Millen indices 密勒指数

Minority carrier 少数载流子

Misfit 失配

Mismatching 失配

Mobile ions 可动离子

Mobility 迁移率

Module 模块

Modulate 调制

Molecular crystal分子晶体

Monolithic IC 单片IC

MOSFET金属氧化物半导体场效应晶体管

Mos. Transistor(MOST ) MOS. 晶体管Multiplication 倍增

Modulator 调制

Multi-chip IC 多芯片IC

Multi-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子

N

Naked chip 未封装的芯片(裸片)

Negative feedback 负反馈

Negative resistance 负阻

Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限

Nonequilibrium 非平衡

Nonrolatile 非挥发(易失)性

Normally off/on 常闭/开

Numerical analysis 数值分析

O

Occupied band 满带

Officienay 功率

Offset 偏移、失调

On standby 待命状态

Ohmic contact 欧姆接触

Open circuit 开路

Operating point 工作点

Operating bias 工作偏置

Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子

Optical quenching光猝灭

Optical transition 光跃迁

Optical-coupled isolator光耦合隔离器

Organic semiconductor有机半导体Orientation 晶向、定向

Outline 外形

Out-of-contact mask非接触式掩模Output characteristic 输出特性

Output voltage swing 输出电压摆幅Overcompensation 过补偿

Over-current protection 过流保护

Over shoot 过冲

Over-voltage protection 过压保护Overlap 交迭

Overload 过载

Oscillator 振荡器

Oxide 氧化物

Oxidation 氧化

Oxide passivation 氧化层钝化

Package 封装

Pad 压焊点

Parameter 参数

Parasitic effect 寄生效应

Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件

Passive device 无源器件

Passive surface 钝化界面

Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压

Peak voltage 峰值电压

Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表

Permeable - base 可渗透基区

Phase-lock loop 锁相环

Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导

Photo diode 光电二极管

Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件

Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂

Pin 管脚

Pinch off 夹断

Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺

Planar transistor 平面晶体管

Plasma 等离子体

Plezoelectric effect 压电效应

Poisson equation 泊松方程

Point contact 点接触

Polarity 极性

Polycrystal 多晶

Polymer semiconductor聚合物半导体

Poly-silicon 多晶硅

Potential (电)势

Potential barrier 势垒

Potential well 势阱

Power dissipation 功耗

Power transistor 功率晶体管

Preamplifier 前置放大器

Primary flat 主平面

Principal axes 主轴

Print-circuit board(PCB) 印制电路板Probability 几率

Probe 探针

Process 工艺

Propagation delay 传输延时

Pseudopotential method 膺势发

Punch through 穿通

Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通

Push-pull stage 推挽级

Q

Quality factor 品质因子

Quantization 量子化

Quantum 量子

Quantum efficiency量子效应

Quantum mechanics 量子力学

Quasi – Fermi-level准费米能级

Quartz 石英

R

Radiation conductivity 辐射电导率Radiation damage 辐射损伤

Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性

Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管

Recombination 复合

Recovery diode 恢复二极管

Reciprocal lattice 倒核子

Recovery time 恢复时间

Rectifier 整流器(管)

Rectifying contact 整流接触

Reference 基准点基准参考点

Refractive index 折射率

Register 寄存器

Registration 对准

Regulate 控制调整

Relaxation lifetime 驰豫时间

Reliability 可靠性

Resonance 谐振

Resistance 电阻

Resistor 电阻器

Resistivity 电阻率

Regulator 稳压管(器)

Relaxation 驰豫

Resonant frequency共射频率

Response time 响应时间

Reverse 反向的

Reverse bias 反向偏置

S

Sampling circuit 取样电路

Sapphire 蓝宝石(Al2O3)

Satellite valley 卫星谷

Saturated current range电流饱和区Saturation region 饱和区

Saturation 饱和的

Scaled down 按比例缩小

Scattering 散射

Schockley diode 肖克莱二极管

Schottky 肖特基

Schottky barrier 肖特基势垒

Schottky contact 肖特基接触

Schrodingen 薛定厄

Scribing grid 划片格

Secondary flat 次平面

Seed crystal 籽晶

Segregation 分凝

Selectivity 选择性

Self aligned 自对准的

Self diffusion 自扩散

Semiconductor 半导体

Semiconductor-controlled rectifier 可控硅Sensitivity 灵敏度

Serial 串行/串联

Series inductance 串联电感

Settle time 建立时间

Sheet resistance 薄层电阻

Shield 屏蔽

Short circuit 短路

Shot noise 散粒噪声

Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃

Silicon 硅

Silicon carbide 碳化硅

Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅

Siliver whiskers 银须

Simple cubic 简立方

Single crystal 单晶

Sink 沉

Skin effect 趋肤效应

Snap time 急变时间

Sneak path 潜行通路

Sulethreshold 亚阈的

Solar battery/cell 太阳能电池

Solid circuit 固体电路

Solid Solubility 固溶度

Sonband 子带

Source 源极

Source follower 源随器

Space charge 空间电荷

Specific heat(PT) 热

Speed-power product 速度功耗乘积Spherical 球面的

Spin 自旋

Split 分裂

Spontaneous emission 自发发射

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上) 概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40~60%。 光刻机是生产线上最贵的机台,5~15百万美元/台。主要是贵在成像系统(由15~20个直径为200~300mm的透镜组成)和定位系统(定位精度小于 10nm)。其折旧速度非常快,大约3~9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker),用于涂胶显影;扫描曝光机(Scanning ) ?光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性;准确地对准;大尺寸硅片的制造;低的缺陷密度。 ? 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 ?1、硅片清洗烘干(Cleaning and Pre-Baking) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150~2500C,1~2分钟,氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);b、除去水蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMDS-〉六甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMDS蒸气淀积,200~2500C,30秒钟;优点:涂底均匀、避免颗粒污染;? ?b、旋转涂底。缺点:颗粒污染、涂底不均匀、HMDS用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coating) 方法:a、静态涂胶(Static)。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65~85%,旋涂后约占10~20%);

半导体工艺主要设备大全

清洗机超音波清洗机是现代工厂工业零件表面清洗的新技术,目前已广泛应用于半导体硅 片的清洗。超声波清洗机“声音也可以清洗污垢”——超声波清洗机又名超声波清洗器,以其洁净的清洗效果给清洗界带来了一股强劲的清洗风暴。超声波清洗机(超声波清洗器)利用空化效应,短时间内将传统清洗方式难以洗到的狭缝、空隙、盲孔彻底清洗干净,超声波清洗机对清洗器件的养护,提高寿命起到了重要作用。CSQ 系列超声波清洗机采用内置式加热系统、温控系统,有效提高了清洗效率;设置时间控制装置,清洗方便;具有频率自动跟踪功能,清洗效果稳定;多种机型、结构设计,适应不同清洗要求。CSQ 系列超声波清洗机适用于珠宝首饰、眼镜、钟表零部件、汽车零部件,医疗设备、精密偶件、化纤行业(喷丝板过滤芯)等的清洗;对除油、除锈、除研磨膏、除焊渣、除蜡,涂装前、电镀前的清洗有传统清洗方式难以达到的效果。恒威公司生产CSQ 系列超声波清洗机具有以下特点:不锈钢加强结构,耐酸耐碱;特种胶工艺连接,运行安全;使用IGBT 模块,性能稳定;专业电源设计,性价比高。反渗透纯水机去离子水生产设备之一,通过反渗透原理来实现净水。 纯水机清洗半导体硅片用的去离子水生产设备,去离子水有毒,不可食用。 净化设备主要产品:水处理设备、灌装设备、空气净化设备、净化工程、反渗透、超滤、电渗析设备、EDI 装置、离子交换设备、机械过滤器、精密过滤器、UV 紫外线杀菌器、臭氧发生器、装配式洁净室、空气吹淋室、传递窗、工作台、高校送风口、空气自净室、亚高、高效过滤器等及各种配件。 风淋室:运用国外先进技术和进口电器控制系统, 组装成的一种使用新型的自动吹淋室.它广 泛用于微电子医院制药生化制品食品卫生精细化工精密机械和航空航天等生产和科研单位,用于吹除进入洁净室的人体和携带物品的表面附着的尘埃,同时风淋室也起气的作用 防止未净化的空气进入洁净区域,是进行人体净化和防止室外空气污染洁净的有效设备. 抛光机整个系统是由一个旋转的硅片夹持器、承载抛光垫的工作台和抛光浆料供给装置三大部分组成。化学机械抛光时,旋转的工件以一定的压力压在旋转的抛光垫上,而由亚微米或纳米磨粒和化学溶液组成的抛光液在工件与抛光垫之间流动,并产生化学反应,工件表面形成的化学反应物由磨粒的机械作用去除,即在化学成膜和机械去膜的交替过程中实现超精密表面加工,人们称这种CMP 为游离磨料CMP 。 电解抛光电化学抛光是利用金属电化学阳极溶解原理进行修磨抛光。将电化学预抛光和机械精抛光有机的结合在一起,发挥了电化学和机构两类抛光特长。它不受材料硬度和韧性的限制,可抛光各种复杂形状的工件。其方法与电解磨削类似。导电抛光工具使用金钢石导电锉或石墨油石,接到电源的阴极,被抛光的工件(如模具)接到电源的阳极。 光刻胶又称光致抗蚀剂,由感光树脂、增感剂(见光谱增感染料)和溶剂三种主要成分组成的对光敏感的混合液体。感光树脂经光照后,在曝光区能很快地发生光固化反应,使得这种材料的物理性能,特别是溶解性、亲合性等发生明显变化。经适当的溶剂处理,溶去可溶性部分,得到所需图像(见图光致抗蚀剂成像制版过程)。光刻胶广泛用于印刷电路和集成电路的制造以及印刷制版等过程。光刻胶的技术复杂,品种较多。根据其化学反应机理和显影原理,可分负性胶和正性胶两类。光照后形成不可溶物质的是负性胶;反之,对某些溶剂是不可溶的,经光照后变成可溶物质的即为正性胶。利用这种性能,将光刻胶作涂层,就能在硅片表面刻蚀所需的电路图形。基于感光树脂的化学结构,光刻胶可以分为三种类型。①光聚合型,采用烯类单体,在光作用下生成自由基,自由基再进一步引发 单体聚合,最后生成聚合物,具有形成正像的特点。②光分解型,采用含有叠氮醌类化合

半导体基础知识和半导体器件工艺

半导体基础知识和半导体器件工艺 第一章半导体基础知识 通常物质根据其导电性能不同可分成三类。第一类为导体,它可以很好的传导电流,如:金属类,铜、银、铝、金等;电解液类:NaCl水溶液,血液,普通水等以及其它一些物体。第二类为绝缘体,电流不能通过,如橡胶、玻璃、陶瓷、木板等。第三类为半导体,其导电能力介于导体和绝缘体之间,如四族元素Ge锗、Si硅等,三、五族元素的化合物GaAs砷化镓等,二、六族元素的化合物氧化物、硫化物等。 物体的导电能力可以用电阻率来表示。电阻率定义为长1厘米、截面积为1平方厘米的物质的电阻值,单位为欧姆*厘米。电阻率越小说明该物质的导电性能越好。通常导体的电阻率在10-4欧姆*厘米以下,绝缘体的电阻率在109欧姆*厘米以上。 半导体的性质既不象一般的导体,也不同于普通的绝缘体,同时也不仅仅由于它的导电能力介于导体和绝缘体之间,而是由于半导体具有以下的特殊性质: (1) 温度的变化能显著的改变半导体的导电能力。当温度升高时,电阻率会降低。比如Si在200℃时电阻率比室温时的电阻率低几千倍。可以利用半导体的这个特性制成自动控制用的热敏组件(如热敏电阻等),但是由于半导体的这一特性,容易引起热不稳定性,在制作半导体器件时需要考虑器件自身产生的热量,需要考虑器件使用环境的温度等,考虑如何散热,否则将导致器件失效、报废。 (2) 半导体在受到外界光照的作用是导电能力大大提高。如硫化镉受到光照后导电能力可提高几十到几百倍,利用这一特点,可制成光敏三极管、光敏电阻等。 (3) 在纯净的半导体中加入微量(千万分之一)的其它元素(这个过程我们称为掺杂),可使他的导电能力提高百万倍。这是半导体的最初的特征。例如在原子密度为5*1022/cm3的硅中掺进大约5X1015/cm3磷原子,比例为10-7(即千万分之一),硅的导电能力提高了几十万倍。 物质是由原子构成的,而原子是由原子核和围绕它运动的电子组成的。电子很轻、很小,带负电,在一定的轨道上运转;原子核带正电,电荷量与电子的总电荷量相同,两者相互吸引。当原子的外层电子缺少后,整个原子呈现正电,缺少电子的地方产生一个空位,带正电,成为电洞。物体导电通常是由电子和电洞导电。前面提到掺杂其它元素能改变半导体的导电能力,而参与导电的又分为电子和电洞,这样掺杂的元素(即杂质)可分为两种:施主杂质与受主杂质。将施主杂质加到硅半导体中后,他与邻近的4个硅原子作用,产生许多自由电子参与导电,而杂质本身失去电子形成正离子,但不是电洞,不能接受电子。这时的半导体叫N型半导体。施主杂质主要为五族元素:锑、磷、砷等。 将施主杂质加到半导体中后,他与邻近的4个硅原子作用,产生许多电洞参与导电,这时的半导体叫p型半导体。受主杂质主要为三族元素:铝、镓、铟、硼等。电洞和电子都是载子,在相同大小的电场作用下,电子导电的速度比电洞快。电洞和电子运动速度的大小用迁移率来表示,迁移率愈大,截流子运动速度愈快。假如把一些电洞注入到一块N型半导体中,N型就多出一部分少数载子――电洞,但由于N型半导体中有大量的电子存在,当电洞和电子碰在一起时,会发

半导体工艺及芯片制造技术问题答案(全)

常用术语翻译 active region 有源区 2.active ponent有源器件 3.Anneal退火 4.atmospheric pressure CVD (APCVD) 常压化学气相淀积 5.BEOL(生产线)后端工序 6.BiCMOS双极CMOS 7.bonding wire 焊线,引线 8.BPSG 硼磷硅玻璃 9.channel length沟道长度 10.chemical vapor deposition (CVD) 化学气相淀积 11.chemical mechanical planarization (CMP)化学机械平坦化 12.damascene 大马士革工艺 13.deposition淀积 14.diffusion 扩散 15.dopant concentration掺杂浓度 16.dry oxidation 干法氧化 17.epitaxial layer 外延层 18.etch rate 刻蚀速率 19.fabrication制造 20.gate oxide 栅氧化硅 21.IC reliability 集成电路可靠性 22.interlayer dielectric 层间介质(ILD) 23.ion implanter 离子注入机 24.magnetron sputtering 磁控溅射 25.metalorganic CVD(MOCVD)金属有机化学气相淀积 26.pc board 印刷电路板 27.plasma enhanced CVD(PECVD) 等离子体增强CVD 28.polish 抛光 29.RF sputtering 射频溅射 30.silicon on insulator绝缘体上硅(SOI)

半导体工艺流程

1清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由 于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水; 且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即米用无机酸将其氧化去除,最后用超纯水进行清洗,如图1-6所示。 图1-6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为: Si + O2 T SiO2

3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 —2P+3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶 和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 6、湿法腐蚀和等离子刻蚀 通过光刻显影后,光刻胶下面的材料要被选择性地去除,使用的方法就

半导体工艺流程

1、清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1 —6所示。 图1—6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作 为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为:

Si + O2f SiO2 3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 f 2P + 3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 光刻胶 基片------------ ?涂胶后基片 1 1 1 1 ~ 显影后基片V------------- 曝光后基片 6、湿法腐蚀和等离子刻蚀

半导体FAB里基本的常识简介

CVD 晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室 答:由于微小的粒子就能引起电子组件与电路的缺陷 何谓半导体?; I* s# N* v8 Y! H3 a8 q4 a1 R0 \- W 答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。 常用的半导体材料为何' u* k9 `+ D1 v1 U# f5 [7 G 答:硅(Si)、锗(Ge)和砷化家(AsGa): j* z$ X0 w& E4 B3 m. M( N( _; o4 D 何谓VLSI' b5 w; M# }; b; @; \8 g3 P. G 答:VLSI(Very Large Scale Integration)超大规模集成电路5 E3 U8 @- t& \ t9 x5 L4 K% _2 f 在半导体工业中,作为绝缘层材料通常称什幺0 r7 i, `/ G1 P! U" w! I 答:介电质(Dielectric). w- j" @9 Y2 {0 L0 f w 薄膜区机台主要的功能为何 答:沉积介电质层及金属层 何谓CVD(Chemical Vapor Dep.) 答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程 CVD分那几种? 答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式) 为什幺要用铝铜(AlCu)合金作导线?4 Z* y3 A, G f+ z X* Y5 ? 答:良好的导体仅次于铜 介电材料的作用为何?% Y/ W) h' S6 J, l$ i5 B; f9 [ 答:做为金属层之间的隔离 何谓PMD(Pre-Metal Dielectric) 答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质5 |3 X. M$ o; T8 Y, N7 l5 q+ b 何谓IMD(Inter-Metal Dielectric)9 u9 j4 F1 U! Q/ ?" j% y7 O/ Q" m; N, b 答:金属层间介电质层。1 X8 g' q a0 h3 k4 r" X$ l. l 何谓USG? 答:未掺杂的硅玻璃(Undoped Silicate Glass): u0 F0 d! A M+ U( w/ Q 何谓FSG? 答:掺杂氟的硅玻璃(Fluorinated Silicate Glass) 何谓BPSG?& ~- I3 f8 i( Y! M) q, U 答:掺杂硼磷的硅玻璃(Borophosphosilicate glass)6 f/ g4 U& D/ }5 W 何谓TEOS? 答:Tetraethoxysilane用途为沉积二氧化硅 TEOS在常温时是以何种形态存在? 答:液体" q) ]0 H- @9 p7 C8 P; D8 Y. P) X 二氧化硅其K值为3.9表示何义( Y! @1 J! X+ P; b* _$ g 答:表示二氧化硅的介电质常数为真空的3.9倍6 H9 v' O5 U U" R9 w! o$ ` 氟在CVD的工艺上,有何应用 答:作为清洁反应室(Chamber)用之化学气体4 Z& Z5 a* E6 m+ F 简述Endpoint detector之作用原理.6 [2 d$ j" l7 p4 V. f 答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被detector 侦测

芯片制造-半导体工艺教程

芯片制造-半导体工艺教程 Microchip Fabrication ----A Practical Guide to Semicondutor Processing 目录: 第一章:半导体工业[1][2][3] 第二章:半导体材料和工艺化学品[1][2][3][4][5]第三章:晶圆制备[1][2][3] 第四章:芯片制造概述[1][2][3] 第五章:污染控制[1][2][3][4][5][6] 第六章:工艺良品率[1][2] 第七章:氧化 第八章:基本光刻工艺流程-从表面准备到曝光 第九章:基本光刻工艺流程-从曝光到最终检验 第十章:高级光刻工艺 第十一章:掺杂 第十二章:淀积 第十三章:金属淀积 第十四章:工艺和器件评估 第十五章:晶圆加工中的商务因素 第十六章:半导体器件和集成电路的形成 第十七章:集成电路的类型 第十八章:封装 附录:术语表

#1 第一章半导体工业--1 芯片制造-半导体工艺教程点击查看章节目录 by r53858 概述 本章通过历史简介,在世界经济中的重要性以及纵览重大技术的发展和其成为世界领导工业的发展趋势来介绍半导体工业。并将按照产品类型介绍主要生产阶段和解释晶体管结构与集成度水平。 目的 完成本章后您将能够: 1. 描述分立器件和集成电路的区别。 2. 说明术语“固态,” “平面工艺”,““N””型和“P”型半导体材料。 3. 列举出四个主要半导体工艺步骤。 4. 解释集成度和不同集成水平电路的工艺的含义。 5. 列举出半导体制造的主要工艺和器件发展趋势。 一个工业的诞生 电信号处理工业始于由Lee Deforest 在1906年发现的真空三极管。1真空三极管使得收音机, 电视和其它消费电子产品成为可能。它也是世界上第一台电子计算机的大脑,这台被称为电子数字集成器和计算器(ENIAC)的计算机于1947年在宾西法尼亚的摩尔工程学院进行首次演示。 这台电子计算机和现代的计算机大相径庭。它占据约1500平方英尺,重30吨,工作时产生大量的热,并需要一个小型发电站来供电,花费了1940年时的400, 000美元。ENIAC的制造用了19000个真空管和数千个电阻及电容器。 真空管有三个元件,由一个栅极和两个被其栅极分开的电极在玻璃密封的空间中构成(图1.2)。密封空间内部为真空,以防止元件烧毁并易于电子的====移动。 真空管有两个重要的电子功能,开关和放大。开关是指电子器件可接通和切断电流;放大则较为复杂,它是指电子器件可把接收到的信号放大,并保持信号原有特征的功能。 真空管有一系列的缺点。体积大,连接处易于变松导致真空泄漏、易碎、要求相对较多的电能来运行,并且元件老化很快。ENIAC 和其它基于真空管的计算机的主要缺点是由于真空管的烧毁而导致运行时间有限。 这些问题成为许多实验室寻找真空管替代品的动力,这个努力在1947年12月23曰得以实现。贝尔实验室的三位科学家演示了由半导体材料锗制成的电子放大器。

半导体的生产工艺流程

半导体的生产工艺流程 微机电制作技术,尤其是最大宗以硅半导体为基础的微细加工技术 (silicon-basedmicromachining),原本就肇源于半导体组件的制程技术,所以必须先介绍清楚这类制程,以免沦于夏虫语冰的窘态。 一、洁净室 一般的机械加工是不需要洁净室(cleanroom)的,因为加工分辨率在数十微米以上,远比日常环境的微尘颗粒为大。但进入半导体组件或微细加工的世界,空间单位都是以微米计算,因此微尘颗粒沾附在制作半导体组件的晶圆上,便有可能影响到其上精密导线布局的样式,造成电性短路或断路的严重后果。为此,所有半导体制程设备,都必须安置在隔绝粉尘进入的密闭空间中,这就是洁净室的来由。洁净室的洁净等级,有一公认的标准,以class10为例,意谓在单位立方英呎的洁净室空间内,平均只有粒径0.5微米以上的粉尘10粒。所以class后头数字越小,洁净度越佳,当然其造价也越昂贵。为营造洁净室的环境,有专业的建造厂家,及其相关的技术与使用管理办法如下: 1、内部要保持大于一大气压的环境,以确保粉尘只出不进。所以需要大型 鼓风机,将经滤网的空气源源不绝地打入洁净室中。 2、为保持温度与湿度的恒定,大型空调设备须搭配于前述之鼓风加压系统 中。换言之,鼓风机加压多久,冷气空调也开多久。 3、所有气流方向均由上往下为主,尽量减少突兀之室内空间设计或机台摆 放调配,使粉尘在洁净室内回旋停滞的机会与时间减至最低程度。 4、所有建材均以不易产生静电吸附的材质为主。 5、所有人事物进出,都必须经过空气吹浴(airshower)的程序,将表面粉尘 先行去除。 6、人体及衣物的毛屑是一项主要粉尘来源,为此务必严格要求进出使用人 员穿戴无尘衣,除了眼睛部位外,均需与外界隔绝接触(在次微米制程技术的工厂内,工作人员几乎穿戴得像航天员一样。)当然,化妆是在禁绝之内,铅笔等也禁止使用。 7、除了空气外,水的使用也只能限用去离子水(DIwater,de-ionizedwater)。 一则防止水中粉粒污染晶圆,二则防止水中重金属离子,如钾、钠离子污染金氧半(MOS)晶体管结构之带电载子信道(carrierchannel),影响半导体组件的工作特性。去离子水以电阻率(resistivity)来定义好坏,一般要求至 17.5MΩ-cm以上才算合格;为此需动用多重离子交换树脂、RO逆渗透、与 UV紫外线杀菌等重重关卡,才能放行使用。由于去离子水是最佳的溶剂与清洁剂,其在半导体工业之使用量极为惊人! 8、洁净室所有用得到的气源,包括吹干晶圆及机台空压所需要的,都得使 用氮气(98%),吹干晶圆的氮气甚至要求99.8%以上的高纯氮!以上八点说明是最基本的要求,另还有污水处理、废气排放的环保问题,再再需要大笔

半导体工艺讲解

半导体工艺讲解(1)--掩模和光刻(上)概述 光刻工艺是半导体制造中最为重要的工艺步骤之一。主要作用是将掩膜板上的图形复制到硅片上,为下一步进行刻蚀或者离子注入工序做好准备。光刻的成本约为整个硅片制造工艺的1/3,耗费时间约占整个硅片工艺的40?60% 光刻机是生产线上最贵的机台,5?15百万美元/台。主要是贵在成像系统 (由15?20个直径为200?300mm勺透镜组成)和定位系统(定位精度小于10nm。其折旧速度非常快,大约3?9万人民币/天,所以也称之为印钞机。光刻部分的主要机台包括两部分:轨道机(Tracker ),用于涂胶显影;扫描曝光机(Scanning ) 光刻工艺的要求:光刻工具具有高的分辨率;光刻胶具有高的光学敏感性; 准确 地对准;大尺寸硅片的制造;低的缺陷密度。 光刻工艺过程 一般的光刻工艺要经历硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等工序。 1、硅片清洗烘干(Cleaning and Pre-Baking ) 方法:湿法清洗+去离子水冲洗+脱水烘焙(热板150?2500C,1?2分钟, 氮气保护) 目的:a、除去表面的污染物(颗粒、有机物、工艺残余、可动离子);除去水 b、蒸气,是基底表面由亲水性变为憎水性,增强表面的黏附性(对光刻胶或者是HMD?六 甲基二硅胺烷)。 2、涂底(Priming) 方法:a、气相成底膜的热板涂底。HMD蒸气淀积,200?2500C,30秒钟; 优点:涂底均匀、避免颗粒污染;b、旋转涂底。缺点:颗粒污染、涂底不 均匀、HMD用量大。 目的:使表面具有疏水性,增强基底表面与光刻胶的黏附性。 3、旋转涂胶(Spin-on PR Coati ng ) 方法:a、静态涂胶(Static )。硅片静止时,滴胶、加速旋转、甩胶、挥发溶剂(原光刻胶的溶剂约占65?85%旋涂后约占10?20% ; b、动态 (Dynamic)。低速旋转 (500rpm_rotation per minute )、滴胶、加速旋转(3000rpm)、甩胶、挥发溶剂。

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

宽禁带半导体材料和工艺设计

宽禁带半导体材料与工艺 1.1 宽禁带半导体的概念和发展 宽禁带半导体(WBS)是自第一代元素半导体材料(Si)和第二代化合物半导体材料(GaAs、GaP、InP等)之后发展起来的第三代半导体材料。这类材料主要包括SiC(碳化硅)、C-BN(立方氮化硼)、GaN(氮化镓、)AlN(氮化铝)、ZnSe(硒化锌)以及金刚石等。 第二代半导体GaAs与Si相比除了禁带宽度增大外,其电子迁移率与电子饱和速度分别是Si的6倍和2倍,因此其器件更适合高频工作。GaAs场效应管器件还具有噪声低、效率高和线性度好的特点但相比第三代半导体GaN和SiC,它的热导率和击穿电场都不高,因此它的功率特性方面的表现不足。为了满足无线通信、雷达等应用对高频率、宽禁带、高效率、大功率器件的需要从二十世纪九十年代初开始,化合物半导体电子器件的研究重心开始转向宽禁带半导体。 我们一般把禁带宽度大于2eV的半导体称为宽禁带半导体。宽禁带半导体材料具有宽带隙、高临界击穿电场、高热导率、高载流子饱和漂移速度等特点,在高温、高频、大功率、光电子及抗辐射等方面具有巨大的应用潜力。 1.2 主要的宽禁带半导体材料 近年来,发展较好的宽禁带半导体材料主要是SiC和GaN,其中SiC的发展更早一些,碳化硅、氮化镓、硅以及砷化镓的一些参数如下图所示:

图1-1 半导体材料的重要参数 如上图所示,SiC和GaN的禁带宽度远大于Si和GaAs,相应的本征载流子浓度小于硅和砷化镓,宽禁带半导体的最高工作温度要高于第一、第二代半导体材料。击穿场强和饱和热导率也远大于硅和砷化镓。 2.1 SiC材料 纯碳化硅是无色透明的晶体。工业碳化硅因所含杂质的种类和含量不同,而呈浅黄、绿、蓝乃至黑色,透明度随其纯度不同而异。碳化硅晶体结构分为六方或菱面体的α-SiC和立方体的β-SiC(称立方碳化硅)。α-SiC由于其晶体结构中碳和硅原子的堆垛序列不同而构成许多不同变体,已发现70余种。β-SiC于2100℃以上时转变为α-SiC。 SiC是IV-IV族二元化合物半导体,也是周期表IV族元素中唯一的一种固态化合物。构成元素是Si和C,每种原子被四个异种原子所包围,形成四面体单元(图25a)。原子间通过定向的强四面体SP3键(图25b)结合在一起,并有一定程度的极化。SiC具有很强的离子共价键,离子性对键合的贡献约占12%,决定了

半导体基础知识和半导体器件工艺

半导体基础知识和半导体器件工艺 第一章半導體基礎知識 通常物質根據其導電性能不同可分成三類。第一類爲導體,它可以很好的傳導電流,如:金屬類,銅、銀、鋁、金等;電解液類:NaCl 水溶液,血液,普通水等以及其他一些物體。第二類爲絕緣體,電流不能通過,如橡膠、玻璃、陶瓷、木板等。第三類爲半導體,其導電能力介於導體和絕緣體之間,如四族元素Ge鍺、Si矽等,三、五族元素的化合物GaAs砷化鎵等,二、六族元素的化合物氧化物、硫化物等。 物體的導電能力可以用電阻率來表示。電阻率定義爲長1 釐米、截面積爲1 平方釐米的物質的電阻值,單位爲歐姆*釐米。電阻率越小說明該物質的導電性能越好。通常導體的電阻率在10-4 歐姆*釐米以下,絕緣體的電阻率在109 歐姆*釐米以上。 半導體的性質既不象一般的導體,也不同于普通的絕緣體,同時也不僅僅由於它的導電能力介於導體和絕緣體之間,而是由於半導體具有以下的特殊性質: (1) 溫度的變化能顯著的改變半導體的導電能力。當溫度升高時,電阻率會降低。 比如Si在200C時電阻率比室溫時的電阻率低幾千倍。可以利用半導體的這個特性製成自動控制用的熱敏元件 (如熱敏電阻等),但是由於半導體的這一特性,容易引起熱不穩定性,在製作半導體器件時需要考慮器件自身産生的熱量,需要考慮器件使用環境的溫度等,考慮如何散熱,否則將導致器件失效、報廢。 (2)半導體在受到外界光照的作用是導電能力大大提高。如硫化鎘受到光照後導電能力可提高幾十到幾百倍,利用這一特點,可製成光敏三極管、光敏電阻等。

(3)在純淨的半導體中加入微量(千萬分之一)的其他元素(這個過程我們稱爲摻雜),可使他的導電能力提高百萬倍。這是半導體的最初的特徵。例如在原子密度爲 5*1022/cm3 的矽中摻進大約5X1015/cm3 磷原子,比例爲10-7(即千萬分之一),矽的導電能力提高了幾十萬倍。 物質是由原子構成的,而原子是由原子核和圍繞它運動的電子組成的。電子很輕、很小,帶負電,在一定的軌道上運轉;原子核帶正電,電荷量與電子的總電荷量相同,兩者相互吸引。當原子的外層電子缺少後,整個原子呈現正電,缺少電子的地方産生一個空位,帶正電,成爲電洞。物體導電通常是由電子和電洞導電。 前面提到摻雜其他元素能改變半導體的導電能力,而參與導電的又分爲電子和電洞,這樣摻雜的元素(即雜質)可分爲兩種:施主雜質與受主雜質。 將施主雜質加到矽半導體中後,他與鄰近的4個矽原子作用,産生許多自由電子參與導電,而雜質本身失去電子形成正離子,但不是電洞,不能接受電子。這時的半導體叫N 型半導體。施主雜質主要爲五族元素:銻、磷、砷等。將施主雜質加到半導體中後,他與鄰近的4 個矽原子作用,産生許多電洞參與導電,這時的半導體叫p 型半導體。受主雜質主要爲三族元素:鋁、鎵、銦、硼等。電洞和電子都是載子,在相同大小的電場作用下,電子導電的速度比電洞快。電洞和電子運動速度的大小用遷移率來表示,遷移率愈大,截流子運動速度愈快。\ 假如把一些電洞注入到一塊N型半導體中,N型就多出一部分少數載子一一電洞, 但由於N型半導體中有大量的電子存在,當電洞和電子碰在一起時,會發生作用, 正負電中和,這種現象稱爲複合 單個N型半導體或P型半導體是沒有什麽用途的。但使一塊完整的半導體的一部分是N 型,另一部分爲P型,並在兩端加上電壓,我們會發現有很奇怪的現象。如果將P型半導體接電源的正極,N型半導體接電源的負極,然後緩慢地加電壓。當電壓很小時,一般小

半导体工艺整理资料

第一章微电子工艺引论 1.硅片、芯片的概念硅片:制造电子器件的基本半导体材料硅的圆形单晶薄片芯片:由硅片生产的半导体产品 2.* 什么是微电子工业技术?微电子工业技术主要包括哪些技术?微电子工艺技术:在半导体材料芯片上采用微米级加工工艺制造微小型化电子元器件和微型化电路技术。包括超精细加工技术、薄膜生长和控制技术、高密度组装技术、过程检测和过程控制技术等 3.集成电路制造涉及的5 个大的制造阶段的内容集成电路制造阶段:硅片制备、芯片制造、芯片测试/ 拣选、装配与封装、终测 4. IC工艺前工序,IC工艺后工序,以及IC工艺辅助工序 IC工艺前工序:薄膜制备技术:主要包括外延、氧化、化学气相淀积、物理气相淀积(如溅射、蒸发)等 掺杂技术:主要包括扩散和离子注入等技术图形 转换技术:主要包括光刻、刻蚀等技术 IC工艺后工序:划片、封装、测试、老化、筛选 IC工艺辅助工序:超净厂房技术;超纯水、高纯气体制备技术;光刻掩膜版制备技术;材料准备技术 5.微芯片技术发展的主要趋势提高芯片性能(速度、功耗)提高芯片可靠性(低失效)降低芯片成本(减小特征尺寸,增加硅片面积,制造规模) 6.什么是关键尺寸(CD)?芯片上的物理尺寸特征称为特征尺寸特别是硅片上的最小特征尺寸,也称为关键尺寸或CD 第二章半导体材料 1 .本征半导体和非本征半导体的区别是什么? 本征半导体:不含任何杂质的纯净半导体,其纯度在99.999999%(8~10个9) 2 .为何硅被选为最主要的半导体材料? 硅材料: 硅的丰裕度——制造成本低 熔点高(1412 0C)――更宽的工艺限度和工作温度范围 SiO2的天然生成 3. GaAs相对硅的优点和缺点各是什么?优点: a)比硅更高的电子迁移率,高频微波信号响应好一一无线和高速数字通信 b)抗辐射能力强――军事和空间应用 c)电阻率大――器件隔离容易实现 d)发光二极管和激光器 主要缺点 a)没有稳定的起钝化保护作用的自然氧化层 b)晶体缺陷比硅高几个数量级 c)成本高 第三章圆片的制备 1.两种基本的单晶硅生产方法 直拉法(CZ法)、区熔法 2.晶体缺陷根据维数可分为哪四种? a) 点缺陷—空位、自填隙等 b) 线缺陷—位错 c) 面缺陷—层错

半导体工艺知识综合题库

工艺知识竞赛试题库 一、公共知识: 1.中英对照 T/R:卷带(Tape and Reel) TEST:测试 Marking:印章 V/I:Visual Inspection Packing:包装 P/N:型号(Part No.) Reel:卷盘 Lot No.:批号 Run Card:随工单 WIP:在制品(Work in process)Stand-off:站立高度 NO Marking:无印记 Yield:良率 O/S:开短路 Package:封装形式 Quantity:数量 Quality:质量 D/C:周期(Date Code) Tube:料管 Bulk:散装 Carry tape:载带 Cover tape:盖带 PPM:百万分之一 SPC:统计过程控制 Reel force:编带拉力 CPK:过程能力指数 CCD:光检 Test program:测试程序 Machine:机器 Operator:操作工 Monitor:值班长 Repairer:维修工 Manager:经理 标签:Label Record:记录标准:Standard SOP:作业指导书 CP:控制计划(Control Plan) FMEA:潜在失效分析 DOE:实验设计 OCAP:超出控制的措施计划 UPH:每小时产量 START: 开始 Pitch motor:步进马达 GP:Green Product绿色产品 2. 员工上班静电检测内容:防静电手链和防静电鞋。 3. 测试车间温湿度范围:温度20-26℃、湿度40-60%;包装区温湿度范围:温度20-28℃、湿度40-70%。 4.5S包括:整理、整顿、清理、清洁、素养五个方面。 5.ESD(Electrostatic discharge)静电放电:指具有不同静电电位的物体由于直接接触或静电感应所引起的物体之间静电电荷的转移。 6.公司质量方针:全员参与鼓励和要求新潮集团全体员工持续的创新质量价值 持续改善持续改善我们的制程,产品和服务 顾客满意倾听顾客的心声,达到顾客的要求 7.公司愿景:成为一流的的半导体封测企业 8. 公司使命:为客户提供最好的品质、最短的交期、最具竞争力的成本及全方位的服务。 9.公司环境方针: 以法治理,清洁生产,全方位地预防和控制污染。

5种主流射频半导体制造工艺

5种主流射频半导体制造工艺 嘉兆科技 1、GaAs 半导体材料可以分为元素半导体和化合物半导体两大类,元素半导体指硅、锗单一元素形成的半导体,化合物指砷化镓、磷化铟等化合物形成的半导体。砷化镓的电子迁移速率比硅高5.7 倍,非常适合用于高频电路。砷化镓组件在高频、高功率、高效率、低噪声指数的电气特性均远超过硅组件,空乏型砷化镓场效晶体管(MESFET)或高电子迁移率晶体管(HEMT/PHEMT),在3 V 电压操作下可以有80 %的功率增加效率(PAE: power addedefficiency),非常的适用于高层(high tier)的无线通讯中长距离、长通信时间的需求。 砷化镓元件因电子迁移率比硅高很多,因此采用特殊的工艺,早期为MESFET 金属半导体场效应晶体管,后演变为HEMT ( 高速电子迁移率晶体管),pHEMT( 介面应变式高电子迁移电晶体)目前则为HBT ( 异质接面双载子晶体管)。异质双极晶体管(HBT)是无需负电源的砷化镓组件,其功率密度(power density)、电流推动能力(current drive capability)与线性度(linearity)均超过FET,适合设计高功率、高效率、高线性度的微波放大器,HBT 为最佳组件的选择。而HBT 组件在相位噪声,高gm、高功率密度、崩溃电压与线性度上占优势,另外它可以单电源操作,因而简化电路设计及次系统实现的难度,十分适合于射频及中频收发模块的研制,特别是微波信号源与高线性放大器等电路。 砷化镓生产方式和传统的硅晶圆生产方式大不相同,砷化镓需要采用磊晶技术制造,这种磊晶圆的直径通常为4-6 英寸,比硅晶圆的12 英寸要小得多。磊晶圆需要特殊的机台,

半导体工艺要点精

半导体工艺要点 1、什么是集成电路 通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体单晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能 2、集成电路设计与制造的主要流程框架 设计-掩模板-芯片制造-芯片功能检测-封装-测试 3、集成电路发展的特点 特征尺寸越来越小 硅圆片尺寸越来越大 芯片集成度越来越大 时钟速度越来越高 电源电压/单位功耗越来越低 布线层数/I/0引脚越来越多 4、摩尔定律 集成电路芯片的集成度每三年提高4倍,而加工特征尺寸(多晶硅栅长)倍,这就是摩尔定 5、集成电路分类 6、半导体公司 中芯国际集成电路制造有限公司(SMIC) 上海华虹(集团)有限公司 上海先进半导体制造有限公司

台积电(上海)有限公司 上海宏力半导体制造有限公司 TI 美国德州仪器 7、直拉法生长单晶硅 直拉法法是在盛有熔硅或锗的坩埚内,引入籽晶作为非均匀晶核,然后控制温度场,将籽晶旋转并缓慢向上提拉,晶体便在籽晶下按籽晶的方向长大。 1.籽晶熔接: 加大加热功率,使多晶硅完全熔化,并挥发一定时间后,将籽晶下降与液面接近,使籽晶预热几分钟,俗称“烤晶”,以除去表面挥发性杂质同时可减少热冲击 2.引晶和缩颈:当温度稳定时,可将籽晶与熔体接触。此时要控制好温度,当籽晶与熔体液面接触,浸润良好时,可开始缓慢提拉,随着籽晶上升硅在籽晶头部结晶,这一步骤叫“引晶”,又称“下种”。“缩颈”是指在引晶后略为降低温度,提高拉速,拉一段直径比籽晶细的部分。其目的是排除接触不良引起的多晶和尽量消除籽晶内原有位错的延伸。颈一般要长于20mm 3.放肩:缩颈工艺完成后,略降低温度,让晶体逐渐长大到所需的直径为止。这称为“放肩”。在放肩时可判别晶体是否是单晶,否则要将其熔掉重新引晶。单晶体外形上的特征—棱的出现可帮助我们判别,<111>方向应有对称三条棱,<100>方向有对称的四条棱。 4.等径生长:当晶体直径到达所需尺寸后,提高拉速,使晶体直径不再增大,称为收肩。收肩后保持晶体直径不变,就是等径生长。此时要严格控制温度和拉速不变。 5.收晶:晶体生长所需长度后,拉速不变,升高熔体温度或熔体温度不变,加快拉速,使晶体脱离熔体液面。

半导体工艺学

请回答以下问题: 题目:(1)请回答以下几个概念:【20分】 (1)场区、(2)有源区、(3)键合、(4)负载效应、(5)钝化。 题目:(2)集成电路工艺主要分为哪几大部分,每一部分中包括哪些主要工艺、并简述各工艺的主要作用。 【20分】 题目:(3)在离子注入工艺中,有一道工艺是”沟道器件轻掺杂源(漏)区”,其目的是减小电场峰植和热电子效应!请详尽解释其原理!【15分】 题目:(4)在电极形成或布线工艺中,用到金属Ti,请详尽说明金属Ti的特性、金属Ti 的相关工艺、以及金属Ti在电路中的作用!【15分】 题目:(5)在光刻胶工艺中要进行,软烘,曝光后烘焙和坚膜烘焙,请详细说明这三步工艺的目的和条件。【15分】 题目:(6)请对Si(以一种含有Cl元素的刻蚀气体为例)和SiO2(以一种含有F元素的刻蚀气体为例)刻蚀工艺进行描述,并给出主要的化学反应方程式。【15分】 参考答案: 题目一答案: (1) 场区是指一种很厚的氧化层,位于芯片上不做晶体管、电极接触的区域,可以起到隔 离晶体管的作用 (2) 有源区是指硅片上做有源器件的区域,有源区主要针对MOS而言,只要源极,漏极以 及导电沟道所覆盖的区域称为有源区. (3) 键合是指将芯片表面的铝压点和引线框架上或基座上的电极内端(有时称为柱)进 行电连接最常用的方法,常用的键合方法有热压键合、超声键合、热超声键合. (4) 刻蚀过程中去除硅片表面材料的速度称为刻蚀速率,它通常正比于刻蚀剂的浓度, 要刻蚀硅片表面的大面积区域,则会耗尽刻蚀剂浓度使刻蚀速率慢下来;如果刻蚀的面积比较小,则刻蚀就会快些.这称为负载效应. (5) 钝化是使金属表面转化为不易被氧化的状态,而延缓金属的腐蚀速度的方法.热生长SiO2的一个主要优点是可以通过束缚硅的悬挂键,从而降低它的表面态密度,这种效果称为表面钝化,它能防止电性能的退化并减少由潮湿、离子或其它外部沾污物引起的漏电流通路. 题目二答案: 答:集成电路制造就是在硅片上执行一系列复杂的化学或者物理操作。简而言之,这些操作可分为六大基本类:晶片制造、薄膜制作、刻印、刻蚀、掺杂、封装。

相关文档
最新文档