数字逻辑(第四版)复习大纲..

数字逻辑(第四版)复习大纲..
数字逻辑(第四版)复习大纲..

第一章数和编码

第一节数制及其转换

一、数字信号(Analog Signal)与模拟信号(Digital Signal)

我们日常生活中接触的数据有两种:模拟数据和数字数据。模拟数据(Analog Data)是由传感器采集得到的连续变化的值,例如温度、压力,以及目前在电话、无线电和电视广播中的声音和图像。数字数据(Digital Data)则是模拟数据经量化后得到的离散的值,例如在计算机中用二进制代码表示的字符、图形、音频与视频数据。目前,ASCII 美国信息交换标准码(American Standard Code for Information Interchange)已为ISO国际标准化组织和CCITT国际电报电话咨询委员会所采纳,成为国际通用的信息交换标准代码,使用7位二进制数来表示一个英文字母、数字、标点或控制符号;图形、音频与视频数据则可分别采用多种编码格式。

1、模拟信号与数字信号

不同的数据必须转换为相应的信号才能进行传输:模拟数据一般采用模拟信号,例如用一系列连续变化的电磁波(如无线电与电视广播中的电磁波),或电压信号(如电话传输中的音频电压信号)来表示;数字数据则采用数字信号,例如用一系列断续变化的电压脉冲(如我们可用恒定的正电压表示二进制数1,用恒定的负电压表示二进制数0),或光脉冲来表示。当模拟信号采用连续变化的电磁波来表示时,电磁波本身既是信号载体,同时作为传输介质;而当模拟信号采用连续变化的信号电压来表示时,它一般通过传统的模拟信号传输线路(例如电话网、有线电视网)来传输。当数字信号采用断续变化的电压或光脉冲来表示时,一般则需要用双绞线、电缆或光纤介质将通信双方连接起来,才能将信号从一个节点传到另一个节点。

2、模拟信号与数字信号之间的相互转换

模拟信号和数字信号之间可以相互转换:模拟信号一般通过PCM脉码调制(Pulse Code Modulation)方法量化为数字信号,即让模拟信号的不同幅度分别对应不同的二进制值,例如采用8位编码可将模拟信号量化为2^8=256个量级,实用中常采取24位或30位编码;数字信号一般通过对载波进行移相(Phase Shift)的方法转换为模拟信号。计算机、计算机局域网与城域网中均使用二进制数字信号,目前在计算机广域网中实际传送的则既有二进制数字信号,也有由数字信号转换而得的模拟信号。但是更具应用发展前景的是数字信号。

二、数制(Numeration System)

对于日常生活中的数值,必须有一个约定俗成的写法和读法,数值的这一约定俗成的写法和读法叫数制。

常用的数制是进位计数制,简称进位制,即按进位方式实现计数的制度。进位计数制包括两个基本的因素:基数和位权。基数:是计数制中所用到的数码的个数。基数为N的计数制中,包含0,1,……,N-1等数码,进位规律是“逢N进一”,每个数位计满N就向高位进1。位权:在一个进位计数制表示的数中,处在不同数位的数码,代表着不同的数值,某一个数位的数值是由这一位数码的值乘上处在这位的一个固定常数。不同数位上的固定常数称为位权值,简称位权。所以一个数的值为基数乘以位权的累加和。

1、二进制(Binary)

采用“逢十进一”的计数制为十进制(Decimal),同样采用“逢二进一”的计数制为二进制。在计算机中常采用的进位计数制有二进制、八进制(Octal)和十六进制(Hexadecimal)。

二进制中基数只有两个:0和1。

二进制的运算规则是:

加法:0+0=0 0+1=1 1+0=1 1+1=10

乘法:0*0=0 0*1=0 1*0=0 1*1=1

八进制的基数为:0,1,……,7

十六进制的基数为:0,1,……,9,A,B,C,D,E,F

为了区分各种进制通常采用:(10)2,(10)10,(10)8,(10)16或(10)B,(10)D,(10)O,(10)H

2、数制转换

⑴十进制和二进制之间的转换:

①二进制转换十进制

(1011.101)2 =(11.625)10,

(1011.101)2 =1*23 + 0*22 + 1*21 + 1*20 + 0*2-1 + 1*2-2 + 1*2-3

=8 + 0 + 2 + 1 + 0.5 + 0 + 0.125

=11.625

②整数十进制转换二进制:采用除2倒排余数

例(13)10 =(1101)2

213

2 6 (1)

2 3 0

1 1 (1)

0 (1)

③小数十进制转换二进制:采用乘2取进位

例(0.6875)10 =(0.1011)2

0.6875 * 2 = 1.375 = 1 + 0.375

0.375 * 2 = 0.75 = 0 + 0.75

0.75 * 2 = 1.5 = 1 + 0.5

0.5 * 2 = 1 = 1 + 0

因为余数为0.0,运算结束

⑵二进制转换八进制、十六进制

由于十六进制数可以用四位二进制数表示,所以二进制数转换十六进制数时,只需把二进制数四位一组,直接转换即可。同理,十六进制数转换成二进制数也只需把每一位十六进制数直接转换成四位二进制数即可。

(10000110001.1011)2 =(2061.54)8 =(431.B)16

第二节真值与机器数

一、真值

带有正负符号的二进制数称真值。

X = +1011 Y = -0010

二、机器数

把真值的“+”,“-”机器化,即用“0”表示“+”,用“1”表示“-”,这样的数称为机器数,这种数据表示法便于在计算机中表示。

X = 01011 Y = 10010

三、原码

原码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数。

[X]原= 01011 [Y]原= 10010

四、反码

反码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数(即原码)逐位变反(即“0” 变“1” ,“1”变“0” )。

[X]反= 01011 [Y]反= 11101

五、补码(Complement)

补码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数(即原码)逐位变反,然后加1。

[X]补= 01011 [Y]补= 11110

对负的补码进行还原的时候仍然采用数值位逐位变反,然后加1的方法。

第三节编码与基本逻辑运算

一、常见编码

1、8421码

8421码是一种用二进制表示十进制的编码方式,由于是用四位二进制数表示一个十进制数,二进制数的四个位权是8、4、2、1,因此得名。8421码的编码范围是:0000——1001。

2、2421码

2421码也是一种用二进制表示十进制的编码方式,但二进制数的四个位权是2、4、2、1,通常2421码的编码形式是对9互补,2421码的编码范围是:0000——0100,1011——1111。

3、余3码

在8421码的基础上,逐个数加3。8421码和2421码的编码方式与他们的位权有关,所以统称为有权码,而余3码则是一种无权码。余3码的编码范围是:0011——1100。

4、格雷码(Gray Code)

格雷码是一种特殊的编码形式,其特点是:任意两个相邻的数,其格雷码只有一位有差别。格雷码是无权码。

二进制数转换格雷码的规则是:格雷码的第i位(G i)是二进制数的第i位(B i)和第i+1位(B i+1)的模2和,即G i = B i⊕ B i+1 ,如果B i为最高位,则B i+1 =0。

格雷码转换成二进制数的规则是:B n= G n,B n和G n分别表示二进制数和格雷

码的最高数和格雷码的最高位;B i = B i+1⊕ G i

说明:模2加运算符号:“⊕”,模2加运算规则:

0 ⊕ 0 = 0 0 ⊕ 1 = 1 1 ⊕ 0 = 1 1 ⊕ 1 = 0

二、基本逻辑运算及其门电路

1、与运算(逻辑乘)和与门(AND Gate)电路

逻辑符号

逻辑表达式:F=A·B(也记为F=AB)

2、或运算(逻辑加)和或门(OR Gate )电路 逻辑符号

逻辑表达式:F=A +B

3

、非运算和非门(NOT Gate )电路 逻辑符号:

逻辑表达式:F=A

三、TTL 门电路

1、 与非门(NAND Gate ) 逻辑符号:

逻辑表达式:F=AB 真值表

2、或非门(NOR Gate ) 逻辑符号

逻辑表达式:F=B A

3、异或门(Exclusive OR Gate ) 逻辑符号

逻辑表达式:F= A ⊕ B = A B + A B

4、同或门(Exclusive NOR Gate)

逻辑符号

逻辑表达式:F= A ⊙ B = A B+ AB

5、三态门

除了以上常见逻辑门以外,还有一种三态门。三态门有一个控制端,通过控制端可以使三态门的输出状态为:0态、1态和高阻态。下面是一个带三态输出的与非门电路。

四、正负逻辑问题

正逻辑规定:高电平为逻辑1,低电平为逻辑0;负逻辑规定:高电平为逻辑0,低电平为逻辑1。因此正逻辑的与非运算和负逻辑的或非运算等效,正逻辑的与运算和负逻辑的或运算等效。

第二章逻辑代数及逻辑函数的化简

第一节逻辑代数基础

一、逻辑代数(Boolean Algebra)

一个非空集合连同若干个定义在该集合上的运算所组成的系统称为代数系统。1847年,英国数学家乔治?布尔创立一个代数系统,此代数系统有一个非空集合,同时定义在此集合上的运算有三种,“? ” ,“+” ,“—”(与、或、非),集合对于运算是封闭的,此代数系统称为逻辑代数(又称布尔代数)。1938年,克劳德?香农将布尔代数应用于电话继电器的开关电路,即集合为{0,1},形成了二值布尔代数。

数字逻辑就是研究这种二值布尔代数表示的电路开关关系。

设某一逻辑网络的输入逻辑变量为A1,A2,…,A n,输出逻辑变量为F,当A1,A2,…,A n,的取值确定后,F的值就被唯一确定下来,则称F是A1,A2,…,A n,的逻辑函数,记为:F=f(A1,A2,…,A n)

通常情况,逻辑函数有三种表示方法:逻辑表达式(Logical Expression)、真值表(Truth Table)和卡诺图(Karnaugh Map)。

逻辑表达式是由逻辑变量和“与”、“或”、“非”三种运算符所构成的算式,这是一种用公式表示逻辑函数的方法。

真值表是由逻辑变量的所有可能取值的组合及其对应的逻辑函数值所构成的表格,这是一种用表格表示逻辑函数的方法。

卡诺图是由表示逻辑变量的所有可能组合的小方格所构成的图形。

对于两个函数:F=f(A1,A2,…,A n)和G=g(A1,A2,…,A n)如果对应于A1,A2,…,A n的任何一组取值,F和G的值都相同,则称F=G,即这两个函数相等。简言之,如果F和G有相同的真值表,则F=G。

二、逻辑代数基本公式和规则

1、基本公式

逻辑函数与数学的函数一样,只是函数值不是“1”就是“0”,运算只有与、或、非三种。和数学函数一样,函数间也有相等的关系,对于逻辑函数相等,就是列出的真值表是相同的,即真值表相同的两个函数一定相等。

⑴交换律:A ?? B = B ? A

A +

B = B + A

⑵结合律:A ?? (B ? C)= (A ?? B)? C

A + (

B + C)= (A + B)+ C

⑶分配律:A ?? (B + C)= A??B + A?C

A + (

B ? C)= (A+B)? (A+C)

⑷吸收律:A + A?? B = A + B

A ?? (A+ B)= A ?? B

A + A?

B = A

A ?? (A + B)= A

⑸反演律(德? 摩根律):AB= A+ B

A = A? B

B

⑹包含律: A B + A C + BC = AB + A C

(A+B)(A+ C)(B+C)=(A+B)(A+ C)

⑺对合律:A= A

⑻重叠律: A + A = A

A ? A = A

⑼互补律:A? A = 0

A+ A = 1

⑽0-1律:0 ? A = 0

0 + A = A

1 ? A = A

1 + A = 1

2、逻辑代数规则

⑴代入规则:任何一个含有变量X的等式,如果将所有出现X的位置,都代之以一个逻辑函数F,此等式仍然成立。

⑵反演(Inversion)规则:当已知一个逻辑函数F,要求F时,只要把F中所有的“ ? ”变成“+”,“+”变成“ ? ”,“0”变成“1”,“1”变成“0”,原变量变成反变量,反变量变成原变量,即得F 。

⑶对偶规则:当已知一个逻辑函数F,如果把F中所有的“ ? ”变成“+”,“+”变成“ ? ”,“0”变成“1”,“1”变成“0”,即得F的对偶式F?。

第二节逻辑函数化简法

一、逻辑函数的变换

对于同一个函数常常有多种不同的写法,这些不同的写法之间的变化称为逻辑函数的变换。逻辑函数的化简是一种特殊的函数变换。

二、“与或”式和“或与”式

多个逻辑变量进行与运算我们称之为与项,多个逻辑变量进行或运算我们称之为或项。几个与项相或构成的式子我们称之为与或式;几个或项相与构成的式子我们称之为或与式。

由于函数有相等的关系,所以对于同一个函数来说,它可以有多种表示方式,其中最常见的函数表达方式是以与或式的形式表示。对于任意一个与或式,一定有一个或与式和它对偶。

三、最小项(Minterm)和最大项(Maxterm)

对于n个变量的逻辑函数来说,它的与项如果包含n个文字,即每个变量以原变量或反变量的形式出现一次且仅出现一次,那么这个与项称为该逻辑函数的最小项。

对于n个变量的逻辑函数来说,它的或项如果包含n个文字,即每个变量以原变量或反变量的形式出现一次且仅出现一次,那么这个或项称为该逻辑函数的最大项。

四、公式法化简

函数的化简是指把函数化为最简的与或式,其判断标准是:

1、与项的个数最少

2、每个与项中变量的个数最少

例1.L = AB + A C+ B C + B C+ B D + B D+ ADE(F+G)

= A (B +C)+ B C + B C+ B D + B D+ ADE(F+G)

B(B C)+ B C + B C+ B D + B D+ ADE(F+G)

= A C

= A + B C + B C+ B D + B D+ ADE(F+G)

= A + B C + B C+ B D + B D

= A + B CD + B C D+ B C+B D + B C D+ BC D

= A + B C+B D + C D

例2.F = (B+ D)(B+ D + A + G)(C + E)(C+ G)(A + E + G)

F… = B D + B DAG + CE + C G + AEG

= B D + CE + C G + AEG

= B D + CE + C G

F= (B+ D)(C + E)(C+ G)

五、卡诺图化简法

卡诺图是逻辑函数的一种表示形式,由于一个逻辑函数一定可以写成由若干个最小项构成的形式,并且一个逻辑函数化成的最小项表达式是唯一的,那么我们可以通过对相邻最小项的合并,来完成函数的化简。卡诺图化简法就是遵循这个原则。卡诺

图化简法和公式化简法在功能上的等效的,但是使用卡诺图化简法更直观,更有利于初学者掌握。

利用卡诺图化简的步骤:

1、将逻辑函数写成最小项表达式。

2、画出卡诺图,在卡诺图中填写逻辑函数中出现的最小项。

3、合并相邻最小项成一个与项。

4、将各个合并与项相或。

合并最小项时注意:

1、合并的最小项一定是2n个最小项(形状矩形)

2、相邻包括上下底边相邻,左右边相邻,四角相邻

3、同一小格可以被多次合并,但每次合并时,一定要有一个小格没有被合并过

4、合并的小格尽可能多,合并的项尽可能少。

例1:F(A,B,C,D)=∑m(0,5,7,8,9,10,11,14,15)

F = A B+ AC + A B D + B C D

例2:F(A,B,C,D)= ∑m(0,1,5,7,8,11,14)

+ ∑d(3,9,12,15)

F = A D + B C+ C D + ABC

第三章组合逻辑电路

第一节组合逻辑电路的分析

一、逻辑电路

逻辑电路分为组合逻辑电路(Combinational Logic Circuit)和时序逻辑电路(Sequential Logic Circuit)。

组合逻辑电路是由门电路组合而成的逻辑电路,它的输出与当时的输入逻辑状态有关,而和电路过去的状态无关。

时序逻辑电路是由具有记忆能力的电路和门电路组成的逻辑网络,它的输出与当时的输入逻辑状态有关,而且和电路过去的输入状态有关。 二、组合逻辑电路的分析步骤

1、根据电路列出输出端逻辑表达式

2、化简或变换逻辑表达式

3、列出真值表

4、指出电路的逻辑功能 例1.

逻辑表达式

F = AB B AB A = AB A ·AB B = (A +AB)·(B +AB) = A B + AB = A ⊙ B

功能:同或门等效电路。

第二节 组合逻辑电路的设计 一、组合逻辑电路的设计步骤: 1、确定设计电路的输入输出变量 2、根据输入输出的关系列出真值表

3、根据真值表得出函数的表达式,并对其进行化简和变换

4、根据表达式画出电路图

例1.设计一个四位二进制数转格雷码的电路。

解:电路有四个输入(X3、X2、X1、X0)表示二进制数,四个输出(Y3、Y2、Y1、Y0)表示格雷码,

得到函数表达式

Y3 = ∑m(8,9,10,11,12,13,14,15)= X3

X X2 Y2 = ∑m(4,5,6,7,8,9,10,11)= X32

X+ 3 = X3⊕ X2

Y1 = ∑m(2,3,4,5,10,11,12,13)= X21

X X1

X+ 2 = X2⊕ X1

Y0 = ∑m(1,2,5,6,9,10,13,14)= X10

X+ 1X X0 = X1⊕ X0

电路:

例2.设计一个四位二进制数转格雷码的电路。

解:电路有四个输入(X 3 、X 2 、 X 1 、 X 0)表示格雷码,

四个输出(Y 3 、Y 2 、 Y 1 、Y 0)表示二进制数,

得到函数表达式

Y 3 = ∑m (8,9,10,11,12,13,14,15)= X 3

Y 2 = ∑m (4,5,6,7,8,9,14,15)=X 32X + 3X X 2 = X 3⊕X 2 Y 1 = ∑m (2,3,4,5,8,9,14,15) = 3X 2X X 1 +3X X 21X + X 32X 1X + X 3X 2X 1 = X 3⊕X 2⊕ X 1

Y 0 = ∑m (1,2,4,7,8,11,13,14)

= X3⊕X2⊕X1⊕ X0

电路:

第三节竞争与冒险

一、定义:同一门电路的不同输入端的输入信号不同时进入门电路的现象称为竞争(Race);由竞争产生的干扰现象称为冒险(Hazard)。

二、产生原因:由于门电路的延迟造成的。它分成静态和动态。

三、判断方法:当电路中出现 F = A A或 F = A + A时,出现竞争冒险。

四、消去方法:增加冗余项。

例如:F = A C + B C一定产生竞争冒险,

改成 F = AC + B C+ AB

第四节常用逻辑功能器件

一、译码器(Decoder)

译码器是计算机常用的器件之一,其功能是将具有特定含义的二进制数进行辨别,并转换成控制信号。在计算机中常用译码器实现对指令操作码的译码工作和对存储器单元地址的译码工作。译码器通常有可以分为两种:一种是将一系列代码转换成与之一一对应的有效信号,另一种是将一种代码转换成另一种代码。此处介绍的是第一种译码器。

1、2-4译码器

简单2-4译码器电路图

逻辑函数表达式

F 3 = A ? B

F 2 = A ? B F 1 = A ? B F 0 = A ? B

2、74LS138译码器

74138电路图请参考教材135页图4.2.3 根据电路得出:

设X = G 1A G 2B G 2 ;当 G 1=1 G 2A =0 G 2B = 0 时,74LS138工作。 Y 7 =CBAX Y 6 = X A CB Y 5 = AX B C Y 4 = X A B C Y 3 = BAX C Y 2 = X A B C Y 1 = AX B C Y 0 = X A B C

在使用74LS138时注意:

⑴74LS138是一个3—8译码器

⑵它有三个引脚G 1,G 2A ,G 2B 做片选,即当 G 1G 2A G 2B =100时,74LS138工作。 ⑶它是低电平有效,即总是一个输出引脚为低电平,其他输出引脚为高电平。

⑷输入顺序CBA。例如CBA=011时,Y3 = 0 ,其他都为1。

二、编码器(Encoder)

编码器是计算机常用的器件之一,其功能是将一组数据信息转换成一个特定的代码。

1、4-2 编码器

简单4-2 编码器电路图

逻辑函数表达式

Y1 = I3I2I1I0 + I32I1I0I

Y0 =3I2I I10I+ I32I1I0I

2、优先权(Priority)编码器74148

通过简单的4-2 编码器电路我们发现,如果在某时刻有多个输入为1的时候,编码器的输出端就无法指示输入方向,因此真正应用的编码器必须带有优先权,即优先权编码器。所谓优先权就是给每个输入端设置一个权值,当有多个输入出现时,输出端指示输入优先权最高的输入方向。

74148电路图请参考教材129页图4.1.3

根据电路图得:

A2 = EI + I7I6 I5I4

A1 = EI + I7I65I+ I7I64I+ I7I6I3I2

A0 = EI + I76I+ I7I54I+ I7I5I32I+ I7I5I3I1

EO= EI + 7I+6I+5I+4I+ 3I+2I+1I+0I

GS = EI + I7I6I5I4I3I2I1I0

由此可知,EI是一个片选信号,EI=0,74LS148工作。

当EI=0时,输入端I n中的一个为0,其他都为1时,GS=0,EO=1

当I7 = 0,其他端为1时,A2A1A0 = 000

当I6 = 0,其他端为1时,A2A1A0 = 001

当I5 = 0,其他端为1时,A2A1A0 = 010

当I4 = 0,其他端为1时,A2A1A0 = 011

当I3 = 0,其他端为1时,A2A1A0 = 100

当I2 = 0,其他端为1时,A2A1A0 = 101

当I1 = 0,其他端为1时,A2A1A0 = 110

当I0 = 0,其他端为1时,A2A1A0 = 111

当有多个输入端为0时,输出的结果以输入端标号最大的为准,因此称之为优先权编码器。

当EI=0,输入全1时,GS=1,ES=0,A2A1A0 = 111

由于74LS148的输入以0 为准,输入全 1 是一种不工作的无效状态,所以GS是74LS148的工作状态标志,GS=1表示74LS148非正常工作。

EO是级联端,如果两片74LS148级联工作,高位的EO连入低位的EI。

三、数据分配器

数据分配器是将一个数据源来的数据根据需要送到多个不同的通道上去,以实现数据分配功能的逻辑电路。通常,数据分配器是由译码器构成的。

如图是一个4线的数据分配器,它是由一个2-4译码器构成的。数据分配器事实上只有一个输入X,而S1和S0是两个控制端,通过S1和S0的情况来决定X的数据从哪一个输出端输出。

F3 = X S1 S0;当S1S0 = 11时,F3 = X

F2 = X S10S;当S1S0 =10时,F2 = X

F1 = X1S S0;当S1S0 = 01时,F1 = X

F0 = X1S0S;当S1S0 = 00时,F0 = X

4路数据分配器

四、数据选择器(Multiplexer)74151

数据选择器是一种通过选择,把多个数据源中的一个传送到公共数据通道上,以实现数据选择功能的逻辑电路,它与数据分配器正好相反。

74151是一个8路的数据选择器(74151电路图请参考教材145页图4.3.3)。根据电路得出:

Y = G CBA D7+G CB A D6+G C B AD5+G C B A D4

+G C BA D3+G C B A D2+G C B AD1+G C B A D0

此数据选择器事实上有八个输入,分别是D7、D6、D5、D4、D3、D2、D1和D0,而C、B和A是控制端,通过控制端来决定Y将输出哪一个输入端的数据,而G是一个片选信号,由G的情况决定数据选择器是否工作。当G=1时,Y=0,数据选择器不工作;当G=0时,数据选择器工作。

五、数据比较器(Comparator)

数据比较器是将两个数据进行比较的逻辑电路。如图是一个一位的数据比较器。它有两个输入和三个输出,其中输入端送入将进行比较的两个数据,输出端分别表示F A>B,F A=B, F A

数字逻辑第四章

第四章组合逻辑电路 ---------------------------------------------------------------------------- 1 : 在组合电路中,任意时刻的输出与 A:该时刻的输入无关,与电路的原来状态有 B:该时刻的输入有关,与电路的原来状态有关 C:该时刻的输入无关,与电路的原来状态无关 D:该时刻的输入有关,与电路的原来状态无关 您选择的答案: 正确答案:D 知识点:组合逻辑电路的特点:组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 ---------------------------------------------------------------------------- 2 : 编码器的逻辑功能是将 A:输入的高、低电平编成对应输出的高、低电平 B:输入的二进制代码编成对应输出的高、低电平 C:输入的高、低电平编成对应输出的二进制代码 D:输入的二进制代码编成对应输出的二进制代码 您选择的答案: 正确答案:C 知识点:在二值逻辑电路中,编码器的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码 ---------------------------------------------------------------------------- 3 : 对于普通编码器和优先编码器下面的说法正确的是 A:普通编码器和优先编码器都允许输入多个编码信号 B:普通编码器和优先编码器都只允许输入一个编码信号 C:普通编码器只允许输入一个编码信号,优先编码器允许输入多个编码信号 D:普通编码器允许输入多个编码信号,优先编码器只允许输入一个编码信号 您选择的答案: 正确答案:C 知识点:在普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱;优先编码器在设计时已将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码,所以允许同时输入两个以上的编码信号 ---------------------------------------------------------------------------- 4 : 8线—3线优先编码器74HC148输入端I1’、I5’同时有效时输出二进制数为 A:101 B:100 C:001 D:010 您选择的答案: 正确答案:D

数字逻辑(第六版 白中英)课后习题答案

第七章 A/D 转换与D/A 转换 1 ADC :模/数转换器analogue digital converter ; DAC :数/模转换器 digital analogue converter ; DSP :数字信号处理器 digital signal processor 。 2. 3. 4

答:二进制编码0011来自ADC 输出,它通过丢失台阶来指示。可能情况下,转换器的输出“3”被粘住在不活动的状态(低电平)。 5. 由电路图知,R 0是输入二进制吗最低位对应的权电阻,所以有 V V K K V K R R K R D V R R V K R R K R R K R R o f i i i REF f o 0625.4)212121(2 5105代入得: ,10,5其中,22,108/,204/,402/023333 03030201-=?+?+??ΩΩ-=Ω==Ω=??-=Ω==Ω==Ω==∑= 6. (1)8为D/A 转换器的最小输出电压增量,即是数字量00000001对应的模拟电压量,或数字量每增加一个单位,输出模拟电压的增加量。输入代码01001101对应的模拟电压为: Vo =0.02(26+23+22+20)=1.54 V (2)8位转换器的分辨率百分数为: %3922.0%100121 8 =?- (3)若要求D/A 转换器的精度小于0.25%,则其分辨率应小于0.5%,因此,这一8位D/A 转换器可满足系统的精度要求。 7. (1)仅最高位接通时,R 10提供的电流为 mA V I 11010103 10=Ω?= 由于最高位电阻的容差所造成的电流误差为: A mA μ5.0%)05.0(1±=±? (2)首先求最低位电阻的阻值 Ω=-?=M R R 12.51210101 仅最低位接通时,R1提供的电流为 A V I μ953.11012.5106 1=Ω?= 最低位造成的电流误差为: A A μμ009765.0%)5(953.1±=±? 对于权电阻网络的D/A 转换器,数字量的位数越多,高低位权电阻的阻值相差越大;相同容 差下,由于各电阻所在位的权值不同,所引入的误差相差也越大。

数字设计原理与实践(第四版)课后各章节习题答案

3.11 对图X3.11(a)所示的AOI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = (A?B + C + D)' 3.12 对图X3.11(b)所示的OAI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = ((A + B)?C ?D)' 13 画出NOR3 对应的电路图。 解:3 输入端或非门结构应为:上部3 个P 管串联,下部3 个N 管并 联,结构如图所示。 3.15 画出OR2 所对应的电路图。 解:在NOR2 电路的输出端后面级联一个INV。 3.59 画出图X3.59 逻辑图所对应的电路图。 解: 3.21 若输出低电平阈值和高电平阈值分别设置为1.5V 和3.5V,对图X3.21 所示的反相器特性,确定高态与低态的DC 噪声容限。解:由图中可以看到,输出3.5V 对应的输入为2.4V,输出1.5V 对应的输入为2.5V;所以,高态噪声容限为:3.5-2.5=1 V ;低态噪声

容限为:2.4-1.5=0.9 V。 3.26 利用表3-3 计算74HC00 的p 通道和n 通道的导通电阻。解:采用极端值计算(对商用芯片,最低电源电压设为 4.75V)表中所列输出电压与电流关系如图所示: 根据电流定律,高态输出时可以建立下列方程: p n R R 0.35 0.02 = 4.4 ? ? ? ? ?? ? ? ? p n R R 0.91 4 = 3.84 ? ? ? ? ?? ? ? ? 联立求解可得:R = 0.151kΩ = 151Ωp 低态输出时可以建立下列方程: n p R R 0.1 0.02 = 4.65 ? ?? ? ??? ?

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

《数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明: 所以由真值表得证。

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字逻辑自测题2

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 ---------------------------------------------------------------------------- 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分) A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 ---------------------------------------------------------------------------- 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案: D 知识点:参考T触发器的特性表 ---------------------------------------------------------------------------- 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解 答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 1 1 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分)

数字逻辑武汉工程大学第四版

武汉工程大学数学逻辑答案 第3章 组合逻辑电路 3.1解题指导 例3-1 试写出图3-1所示电路输出F 的表达式。74148为优先编码器。其功能见表3-1所示。 表3-1 74148的真值表 解:图3-1中电路的74148的70~I I 虽然都接地,但只对7I 编码,74151的A 2A 1A 0等于74148的012A A A 等于000,使F =D 0=A 。 例3-2 试分析图3-2所示电路的逻辑功能。 图3-1 例3-1逻辑图 B A 0 5图3-2 例3-2 的逻辑图

解:题示电路中74138的A 2=0,使74138变成2线-4线译码器。AB =00时,00=F 1321===F F F 。 若此时CD =00,则F =D 0=0;而CD ≠00时,F ≠D 0,F =1。故该电路的功能为AB =CD 时,输出F =0,AB ≠CD 时,F =1。 例3-3人类有四种基本血型—A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血只能接受O 型血;AB 型血只能输给AB 型,但AB 型能接受所有血型;A 型血能输给A 型和AB 型,但只能接受A 型或O 型血;B 型血能输给B 型和AB 型,但只能接受B 型或O 型血。试用与非门设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路。如果输血者与受血者的血型符合规定电路输出“1”(提示:电路只需要四个输入端。它们组成一组二进制代码,每组代码代表一对输血—受血的血型对)。 解:用变量A 、B 、C 、D 表示输血者、受血者的血型对作为输入变量,用F 表示血型是否符合作为输出变量。得到血型与二进制数间的对应关系,从而得到真值表如表3-2所示。 血型与二进制数对应关系 表 由真值表画出卡诺图如图3-3所示。 由卡诺图得表达式如下:C B CD D A B A C B CD D A B A F ???=+++= 由表达式画出逻辑图如图3-4所示。 B O A B

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑第二章作业答案

第二章部分习题答案:(第四版) 2.1 (e) 10100.11012 =14.D16(f) F3A516 =11110011101001012 (i) 101111.01112 =57.348 2.2 (a) 12348 =0010100111002 =29C16 (e) 7436.118=111100011110.0010012=F1E.2416 2.3 (b) 7E6A16=1111110011010102=771528 (f) DEAD.BEEF16=1101111010101101.10111110111011112=157255.5756748 2.5 (e) 10100.11012=20.812510 (j) 15C.3816 =348.2187510 2.6 (b) 348910=66418 (f) 2385110=5D2B16 2.9(b) 57734 + 1066 61022 2.10(c) F35B + 27E6 11B41 2.11 decimal +25 +120 +82 -42 -6 -111 signed-magnitude 00011001 01111000 01010010 10101010 10000110 11101111 two’s-complement 00011001 01111000 01010010 11010110 11111010 10010001 ones’-complement 00011001 01111000 01010010 11010101 11111001 10010000 2.12 (b) 10011110, overflow not occurs (c) 10001110, overflow occurs (d) 10000000, overflow occurs 2.16 1264843010=COFFEE16 2.18 ( a) r>6; (b)r=8; (c) r>3; (d) r=5; (e) r=4; (f)r=6;

数电第4章习题解答张克农版 2

97 4章课后习题解答 4.1 根据图题4.1中输入信号R 、S 的波形,画出图4.2.1中的基本RS 锁存器的状态变化波形。 [解]见图解4.1中Q 、Q 的波形。 4.2 根据图题4.2所给的时钟脉冲波形及输入信号R 、S 的波形,画出图4.2.6中时钟控制RS 锁存器输出Q 的波形。 [解]见图解4.2中Q 、Q 的波形。 4.3 主从JK 触发器电路结构如图题4.3.1(a)所示,设初态为0,已知CP 、J 、K 和R 的波形如图题4.3所示,试画出Q A 、Q B 的波形。 [解]见图解4.3中Q A 、Q B 的波形。 4.4 图题4.4中各触发器的初始状态Q =0,试画出在触发脉冲CP 作用下各触发器Q 端的电压波形。 [解]见图解4.4中Q 1~Q 8的波形。 图题、解4.1 图题、解 4.2 图题、解4.3

98 4.5画出图题4.5中Q 的波形(忽略触发器的传输延迟时间)。 [解]见图解4.5中Q 的波形。 4.6分别画出图题4.6 (a)、(b)中Q 的波形(忽略触发器的传输延迟时间,假定触发器的 初始状态为0)。 【解】见图解4.6中Q 的波形。 图题、解 4.4 图题、解4.5 图题 4.6

99 (a) (a) (b) 图解4.6 4.7 图题4.7所示为各种边沿触发器,已知CP 、A 和B 的波形,试画出对应的Q 的波形。(假定触发器的初始状态为0)。 【解】Q 1、Q 2、Q 3、Q 4的波形见图解4.7所示。 图题 4.7

100 图解4.7 4.8 试画出图题4.8中P 的波形(忽略触发器的传输延迟时间)。 【解】P 的波形见图解4.8所示。 图解4.8 4.9 试分析图题4.9所示引入转换电路(在虚线框内)后,整个触发器电路的逻辑功能。 图题 4.8

数字逻辑自测题5

数字逻辑自测题5

1 : VOL表示什么含义(2分) A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案: A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 2 : 下列说法不正确的是()(2分) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 您选择的答案: 正确答案: D 知识点:一个逻辑函数的最简与或式形式不是唯一的 ---------------------------------------------------------------------------- 3 : 二—十进制译码器输入为()(2分) A:BCD代码 B:三位二进制数 C:十进制数 D:二十进制数 您选择的答案: 正确答案: A 知识点:二—十进制译码器的逻辑功能是将输入BCD码的10个代码译成10个高、低电平输出信号---------------------------------------------------------------------------- 4 : 触发器的状态转换图如下,则它是:()(5分) A:T触发器 B:SR触发器 C:JK触发器 D:D触发器 您选择的答案: 正确答案: A 知识点:见T触发器状态转换图

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

数字逻辑期末复习题

一、选择题(每小题2 分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 _____D_____。 _____A_____。 C . 加法器 5”,则译码器输出a ~g 应为 ____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011 分) 1对应的电压范围是___N 2____个输出端。 、_T_等四种类型。 16.对于D 触发器,若现态Q n = 0,要使次态Q n+1 =0,则输入D=__0_____。 17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。 18.多个集电极开路门(OC 门)的输出端可以 _____线与_______。 19.T 触发器的特性方程是___n n Q T Q ⊕=+1_____,当T=1时,特性方程为___n n Q Q =+1_____,这时触发器可以用来作___2分频器_____。 20.构造一个十进制的异步加法计数器,需要多少个 __4____触发器。计数器的进位Cy 的频率与计数器时钟脉冲CP 的频率之间的关系是____1﹕10_________。

数字逻辑 白中英 第六版 答案

第六章习题答案 1现有D触发器组成的三个n位寄存器,需要连接起来传送数据。当控制信号S a有效时,执行(Ra)→Rc的操作;当控制信号S b有效时,执行(R b)→R C的操作。试写出连接电路的逻辑表达式,并画出逻辑电路图。解: Rc = Ra·Sa·LDC + Rb·Sb·LDC 2 现有D触发器组成的四个8位寄存器,要求它们之间实现数据传送,试设计连接电路。 解: BUS 3 ALU的输出端一般带有一个移位器,其功能为:①ALU输出正常传送;②ALU输出左移1位(ALU i+1)传送;③ALU输出右移一位(ALU i-1)传送。试设计移位器的逻辑电路。 解:

4 一个系统有A,B两条总线,为了接收来自任何一条总线上的数据并驱动任何一条总线,需要一个总线缓冲寄存器。请用D触发器和三态门设计一个总线缓冲寄存器。 解: 5 试构造能完成下列程序操作的ASM图: (a)if X = N, then … 。 (b)if X≠N, then …, else …。 解:

(c)for X from A to B, step C, do… 。解:

(d)while X = Y, do …。 解: (e)if X > N OR X < O, then …, else …。解:

6 有一个数字比较系统,它能对两个8位二进制进行比较。其操作过程如下:先将两个8位二进制数存入寄存器A和B, 然后进行比较,最后将大数移入寄存器A中。要求: ⑴画出此系统方框图,并构造ASM流程图。 ⑵设计一个计数器型控制器。 解:(1)

②状态转移真值表

相关文档
最新文档