8x8点阵LED显示键盘输入字母A~F

8x8点阵LED显示键盘输入字母A~F
8x8点阵LED显示键盘输入字母A~F

课程设计报告

课程设计名称:微机原理与接口技术系别:三系

学生姓名:缪广东

班级:10计本(1)

学号:20100303130

成绩:

指导教师:巫宗宾

开课时间:2012—2013 学年 1 学期

一.设计题目

LED显示系统设计

二.主要内容

课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。

通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。

通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。

在课程设计时,1人一组,设计报告由学生独立完成,不得互相抄袭。教师的主导作用主要在于指明设计思路,启发学生独立设计的思路,解答疑难问题和按设计进度进行阶段审查。学生必须发挥自身学习的主动性和能动性,主动思考问题、分析问题和解决问题,而不应处处被动地依赖指导老师。

学生在设计中可以引用所需的参考资料,避免重复工作,加快设计进程,但必须和题目的要求相符合,保证设计的正确。学生学会掌握和使用各种已有的技术资料,不能盲目地、机械地抄袭资料,必须具体分析,使设计质量和设计能力都获得提高。学生要在老师的指导下制定好自己各环节的详细设计进程计划,按给定的时间计划保质保量的完成个阶段的设计任务。设计中可边设计,边修改,软件设计与硬件设计可交替进行,问题答疑与调试和方案修改相结合,提高设计的效率,保证按时完成设计工作并交出合格的设计报告。

三.具体要求

从键盘输入数字A~F,通过8279芯片读取数据并由8255A芯片的A口与C 口分别控制8*8点阵LED的行与列从而显示数字A~F。

四.进度安排

五.成绩评定

考核方法:现场验收(占50%),课程设计报告(占50%)。

考核内容:学习态度(出勤情况,平时表现等)、方案合理性、程序编制质量、演示效果、设计报告质量。

成绩评定:优,良,中,及格,不及格。

特别说明:如发现抄袭,按照不及格处理。

正文

一.实验原理

1.8279芯片

8279是可编程的键盘、显示接口芯片。它既具有按键处理功能,又具有自动显示功能,在单片机系统中应用很广泛。8279内部有键盘FIFO(先进先出堆栈)/传感器,双重功能的8*8=64B RAM,键盘控制部分可控制8*8=64个按键或8*8阵列方式的传感器。该芯片能自动消抖并具有双键锁定保护功能。显示RAM 容量为16*8,即显示器最大配置可达16位LED数码显示。

8279采用单±5V电源供电,40脚封装。

DB0~DB7:双向数据总线,用来传送8279与CPU之间的数据和命令。

CLK:时钟输入线,用以产生内部定时的时钟脉冲。

RESET:复位输入线,8279复位后被置为字符显示左端输入,二键闭锁的触点回弹型式,程序

时钟前置分频器被置为31,RESET信号为高电平有效。

CS:片选输入线,低电平有效,单片机在CS端为低时可以对8279读/写操作。

A0:缓冲器低位地址,当A0为高电平时,表示数据总线上为命令或状态,当为低电平时,表示数据总线上为数据。

RD:读信号输入线,低电平有效,将缓冲器读出,数据送往外部总线。

图1.1 8279引脚图

2.4*4键盘显示控制器

3.8255A芯片

8255A是一种可编程的I/O接口芯片,可以与MCS-51系统单片机以及外设直接相连,广泛用作外部并行I/O扩展接口。

①8255A的内部结构

8255A内部由PA、PB、PC三个8位可编程双向I/O口,A组控制器和B组控制器,数据缓冲器及读写控制逻辑四部分电路组成。

图1.3 8255A结构框图和引脚图

②8255A的引脚功能

数据总线(8条):D0~D7,用于传送CPU和8255A间的数据、命令和状态字。

控制总线(6条):RESET:复位线,高电平有效。

/CS:片选线,低电平有效。

/RD、/WR:读命令线,写命令线,皆为低电平有效。

A0、A1:地址输入线:用于选中PA、PB、PC口和控制寄存器中哪一个工作。

并行I/O总线(24条):用于和外设相连,共分三组

③8255A控制字

方式控制字用于设定8255A三个端口工作于什么方式,是输入还是输出方式。

本实验中,A口,B口,C口都是方式0输出,故方式控制字为10000000B=80H

图1.4 8255A的方式控制字

4. 8*8点阵LED双色显示器

①LED点阵显示系统中各模块的显示方式

有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的脉冲信号,反复循环以上操作,就可显示各种图形或文字信息。

LED点阵显示器单块使用时,既可代替数码管显示数字,也可显示各种中西文字及符号.如5 x 7点阵显示器用于显示西文字母.5×8点阵显示器用于显示中西文,8 x 8点阵用于显示中文文字,也可用于图形显示。用多块点阵显示器组合则可构成大屏幕显示器,但这类实用装置常通过微机或单片机控制驱动。

②8X8 点阵LED工作原理

从图中可以看出,8X8点阵共需要64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,如图49所示,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的亮的方法如下所述:一根竖柱:对应的列置1,而行则采用扫描的方法来实现。

一根横柱:对应的行置0,而列则采用扫描的方法来实现。

图1.5 8*8点阵LED结构图

二、流程图

图2.1 主程序流程图

三、实验连线图

图3.1 实验连线图

盘图2.2键显示子程序流

程图

图2.3显示子程序流程图

四、源程序

DA TA SEGMENT

PORT EQU 0C400H-0280H

IO8255A EQU PORT+288H ;8255端口A地址

IO8255B EQU PORT+289H ;8255端口B地址

IO8255C EQU PORT+28AH ;8255端口C地址

IO8255CTR EQU PORT+28BH ;8255控制端口地址

PORT0 EQU PORT+2B0H ;8279数据口

PORT1 EQU PORT+2B1H ;8279控制口

TAB DB 18H,24H,24H,24H,3CH,24H,24H,24H ;字母A~F的编码

DB 1CH,24H,24H,1CH,3CH,24H,24H,1CH

DB 38H,7CH,06H,02H,02H,06H,7CH,38H

DB 1EH,22H,42H,42H,42H,42H,22H,1EH

DB 3CH,04H,04H,3CH,3CH,04H,04H,3CH

DB 3CH,3CH,04H,3CH,3CH,04H,04H,04H

BUFFER DB 0H

SEC1 DB 12H

SEC2 DB 0AH

SEC3 DB 0BH

SEC4 DB 0CH

SEC5 DB 0DH

SEC6 DB 0EH

SEC7 DB 0FH

SEC8 DB 12H

LED DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H ;LED显示0~7编码DB 7FH,6FH,77H,7CH,39h,5EH,79h,71H ;LED显示8~F编码

DB 73H,3EH,40H

KEYDATA DB 23H,2BH,33H,3BH,22H,2AH,32H,3AH

DB 21H,29H,31H,39H,20H,28H,30H,38H

DA TA ENDS

STACKS SEGMENT

DB 100 DUP(?)

STACKS ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DA TA,SS:STACKS,ES:DATA

START:MOV DX,IO8255CTR ;写8255控制字,定义A,B,C口都为输出MOV AL,80H

OUT DX,AL

CLI

MOV AX,DA TA

MOV DS,AX

MOV DX,PORT1

MOV AL,00H

OUT DX,AL ;设置8279方式字

MOV AL,2AH

OUT DX,AL ;设置分频系数

MOV AL,0D0H

OUT DX,AL ;清显示

MOV AL,90h

OUT DX,AL ;设置从左边开始写入数据

TEST1:IN AL,DX ;判断8279工作是否正常

AND AL,80H

JNZ TEST1

KEY1:CALL KEY ;调用键盘显示子程序

LP0:MOV CX,08H

MOV SI,OFFSET SEC1

LP2:MOV [SI],BH ;键号存入显示缓冲单元

INC SI

LOOP LP2

CMP BH,09H ;判断是9键吗?

JA LP1

MOV AX,4C00H ;是9键返回

INT 21H

LP1:CALL OFFLED ;调用关8*8点阵LED显示子程序MOV SI,0H ;设置字库首地址

STR1:MOV AL,08H ;根据键号找到对应的字库编码地址SUB BH,0AH

MUL BH

ADD SI,AX

CALL DEL1 ;调用8*8点阵LED显示子程序

JMP KEY1

;--------------键盘扫描子程序-------------------------------

KEY PROC NEAR

MOV DX,PORT1 ;清显示

MOV AL,0D0H

OUT DX,AL

WREP:CALL DISP ;调用显示子程序

MOV DX,PORT1 ;判断是否有键输入

IN AL,DX

AND AL,07H

JZ WREP ;无键则返回WREP

MOV DX,PORT0 ;有键则读取键值

IN AL,DX

MOV BL,AL ;键值存入BL

MOV BH,0H ;确定键号

MOV SI,OFFSET KEYDATA ;取键值表首地址

KEY0:MOV AL,[SI]

CMP AL,BL ;比较是否相等

JNE KEY5 ;不相等则跳到KEY5

JMP KEY4 ;相等则跳到KEY4

KEY5:ADD SI,01H ;地址加一

ADD BH,01H ;键号加一

CMP BH,10H ;键号是否等于16

JNE KEY0 ;不等则返回KEY0

JMP KEY4 ;相等则跳KEY4

KEY4:MOV BUFFER,0H ;BUFFER置0

RET

KEY ENDP

;---------LED显示子程序--------------------------------------------

DISP PROC NEAR

DISP0:MOV DX,PORT1 ;判断8279工作是否正常

IN AL,DX

AND AL,80H

JNZ DISP0

MOV SI,OFFSET SEC1 ;从显示缓冲区取数

MOV CX,8H

DISP1:MOV BX,OFFSET LED ;查字型代码

CLD ;方向标志位清0

LODSB ;把SI指向的内容送到AL,SI加一

XLAT ;将BX为首地址的,偏移地址为AL的内容送给AL

MOV DX,PORT0 ;送8279显示

OUT DX,AL

LOOP DISP1 ;循环显示8个LED

RET

DISP ENDP

;----------8*8点阵LED持续显示子程序------------------------------------

DEL1 PROC NEAR

MOV CX,20H ;设置每个数字显示时间间隔参数

DEL2:PUSH CX

DEL3:PUSH CX

DEL4:PUSH SI

CALL DISPW0 ;调用显示第一个数字子程序

POP SI

LOOP DEL4 ;继续显示

POP CX

LOOP DEL3

POP CX

LOOP DEL2

RET

DEL1 ENDP

;---------8*8点阵LED显示数字子程序--------------------------------- DISPW0 PROC NEAR

PUSH CX ;保存CX

MOV AH,0FEH

MOV CX,08H ;设置循环次数

CLC

DAT1:MOV DX,IO8255A

MOV AL,AH ;开第一行

OUT DX,AL

MOV AL,TAB[SI] ;读字库,写入列

MOV DX,IO8255C

OUT DX,AL

CALL DELAY0 ;调用延时子程序

CALL OFFLED ;调用重置子程序

INC SI ;SI自增

ROL AH,01H ;循环开下一行

LOOP DAT1 ;转DAT1

POP CX

RET

DISPW0 ENDP

;---------软件延时子程序-------------------------------------

DELAY0 PROC NEAR

PUSH CX

MOV CX,20H

DELAY:PUSH CX

DELA2:PUSH CX

DELA3:LOOP DELA3

POP CX

LOOP DELA2

POP CX

LOOP DELAY

POP CX

RET

DELAY0 ENDP

;-----重置所有列子程序--------------------------------------------

OFFLED PROC NEAR

MOV DX,IO8255C

MOV AL,0H

OUT DX,AL

RET

OFFLED ENDP

;----------------------------------------------------------------

CODE ENDS

END START

五、实验结果

图4.1 初始化图4.2 显示字母A

图4.3 显示字母B 图4.4 显示字母C

图4.5 显示字母D 图4.6 显示字母E

图4.7 显示字母F

六、总结

本次课程设计中我主要结合了键盘显示和8*8点阵LED显示两次实验的思想,加以结合及修改,最终完成了字母A~F的显示。

设计步骤:

1.编程使得8位数码管初始显示-ABCDEF-,然后在小键盘上每按一个健,6位数码管上显示出相应字符,按9键返回。

2.读取键盘按下的键号,使用I/O接口芯片8255的A口[0:7]和C口[0:7]分别控制LED点阵的行H[0:7]和列L[0:7]。编制程序,使点阵LED显示对应的字母。

3.通过本次课程设计,我学会了8279芯片以及8255A芯片的功能,学会了点阵LED 的显示编码设计。为以后的硬件学习打下了基础。

七、参考资料

1.周明德.微型计算机系统原理及应用.北京:清华大学出版社

2.张弥左,王兆月,邢立军等.微型计算机接口技术.北京:机械工业出版社

3.戴梅萼,史嘉权.微型计算机技术及应用.北京:清华大学出版社

LED点阵显示屏实验报告解析

16?16点阵LED电子显示屏的设计 摘要:文章介绍了基于单片机AT89C51的16?16点阵LED电子显示屏的设计。分别阐述了显示屏显示的基本原理,硬件设计、控制方法及其程序的实现。经过调试和分析,设计的结果能够实现对汉字的静态和动态显示,动态显示的内容有多种方式,同时又可通过上位机更新显示的内容。 关键字:AT89C51;16?16点阵;LED;显示屏 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,显示花样较单一。一般在产品出厂时,显示内容就已写入显示屏控制系统中的EPROM芯片内,当需要更换显示内容时就非常困难,这样使该类型的显示屏使用范围受到了限制。国内的另一种LED显示屏——可编程序型LED显示屏,虽然增加了显示屏系统的编程能力,显示内容和显示花样都有所增加,但也存在着更换显示内容不便的缺点。随着社会经济的迅速发展,如今的广告牌都存在着显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。 2 LED显示屏控制技术状况 显示屏的控制系统包括输入接口电路、信号控制、转换和数字化处理电路及输出接口电路等,涉及的具体技术很多,其关键技术包括串行传输与并行传输技术、动态扫描与静态锁存技术、自动检测及远程控制技术等。

微机接口实验-16x16点阵显示

实验04·LED显示器 王梦硕 0930******* 实验目的: 在理解LED点阵工作原理的基础上,实践使用点阵显示字符。 实验原理: 1·点阵式显示器: 发光二级管排列成矩阵,由亮与暗来产生字符或图形。 每一样的阳极连在一起,每一列的阴极连在一起,如右图所 示。 点阵显示器每一列的阴极连在一起,对每一列而言相当 于一个共阴显示器。同时每一行的阳极连在一起,相当于七 段显示器的比划。可采用动态显示电路,以笔画锁存器控制 行信号,以位锁存器控制列信号。 2·74HC595 实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。当行输出高电平、列输出低电平时,可以点亮点阵。74HC595的工作时序图和推荐的连接方法如下: 下图中: ?LD-QA~LD-QP:点阵行控制信号 ?LD-1~LD-16:点阵列控制信号 ?SER(14脚):串行数据输入端 ?-SCLR(10脚):低电平时将移位寄存器的 数据清零。通常将它接Vcc。 ?SCK(11脚):上升沿时将串行数据移入移 位寄存器。 ?RCK(12脚):上升沿时移位寄存器的数据 锁存入数据寄存器。 ?-G(13脚): 高电平时禁止输出(高阻态)。

实验内容: 在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。 两个实验部分的电原理图是相同的,如下所示:

1·静态方式: 流程图: 程序代码: L_DAT_H BIT P1.0 L_DAT_L BIT P1.1 L_STR BIT P1.2 L_CLK BIT P1.3 L_OE BIT P1.4 ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41H SELH EQU 42H ;行扫描信号

8x8点阵LED显示键盘输入字母A~F.

课程设计报告 课程设计名称:微机原理与接口技术系别:三系 学生姓名:缪广东 班级:10计本(1) 学号:20100303130 成绩: 指导教师:巫宗宾 开课时间:2012—2013 学年 1 学期

一.设计题目 LED显示系统设计 二.主要内容 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 在课程设计时,1人一组,设计报告由学生独立完成,不得互相抄袭。教师的主导作用主要在于指明设计思路,启发学生独立设计的思路,解答疑难问题和按设计进度进行阶段审查。学生必须发挥自身学习的主动性和能动性,主动思考问题、分析问题和解决问题,而不应处处被动地依赖指导老师。 学生在设计中可以引用所需的参考资料,避免重复工作,加快设计进程,但必须和题目的要求相符合,保证设计的正确。学生学会掌握和使用各种已有的技术资料,不能盲目地、机械地抄袭资料,必须具体分析,使设计质量和设计能力都获得提高。学生要在老师的指导下制定好自己各环节的详细设计进程计划,按给定的时间计划保质保量的完成个阶段的设计任务。设计中可边设计,边修改,软件设计与硬件设计可交替进行,问题答疑与调试和方案修改相结合,提高设计的效率,保证按时完成设计工作并交出合格的设计报告。

EDA(LED点阵显示屏控制系统设计)

《EDA技术与应用》 课程设计报告 题目: LED点阵显示屏控制系统设计院(系):机电与自动化学院 专业班级:自动化 学生姓名: 学号: 2014 指导教师: 2017年6月 19日至2017年 6 月23 日 *******

《EDA技术及应用》课程设计任务书

摘要:我国经济正处于发展的高峰期,也需要广大的公共场合信息公示平台,而利用LED点阵滚动显示正好符合情况,且这种方式已经成为信息传递的一种重要手段。因此,在日常生活中,点阵随处可见。通过多种控制手段,点阵还可以实现各种文字甚至图案的动态显示。在不同的应用场合,点阵的设计要求也是不同的。传统思路一般是应用单片机实现点阵控制,但该方法有一定的局限性。 该次课程设计主要研究利用VHDL语言编程来设计汉字的显示。首先描述相应的设计电路;然后叙述在16*16矩阵显示汉字的原理;最后给出描述功能的VHDL设计语言。并通过编程、调试、仿真、下载正确实现汉字滚动、扫描显示结果。 关键词: LED点阵;FPGA;VHDL语言;汉字滚动显示。

目录 1.实验要求及总体方案 (1) 1.1 实验要求 (1) 1.2 扫描显示 (1) 1.3 滚动显示 (1) 2.LED点阵显示原理 (1) 2.1 LED点阵原理 (1) 2.2汉字取模 (2) 3.扫描显示 (3) 3.1 设计基本原理 (3) 3.2计数器设计 (3) 3.3 列驱动设计 (4) 3.4 行驱动设计 (4) 4.仿真图原理图及实物图 (4) 4.1仿真图 (4) 4.2原理图 (5) 4.3实物图 (6) 5.程序 (7) 参考文献: (10)

EDA 16x16点阵显示

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级 学号 姓名 指导教师乔汇东胡瑛 2012年7月2日

湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1001班 学生姓名 学号 指导老师乔汇东胡瑛 审批乔汇东 任务书下达日期2012 年6月23日 任务完成日期2012 年7月2日

《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第二十周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附: 课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

8 8LED点阵显示实验

8 8LED点阵显示实验 一.实验要求 利用实验系统提供的实验模块点阵显示,编程实现中英文字符的显示。 二.实验目的 1.了解LED点阵显示的基本原理和实现方法。 2.掌握点阵汉字库的编码和从标准字库中提取汉字编码的方法。 三.实验电路及连线 点阵显示模块WTD3088的(红色)列输入线接至内部LED的阴极端,行输入线接至内部LED 的阳极端(若阳极端输入为高电平,阴极端输入低电平,则该LED点亮)。发光点的分布如图22-0所示。 Fig 22-0 WTD3088 LED分布 如图22-1示,本实验模块使用74LS374来控制列输入线的电平值。将74LS374的某输出置0,则对应的LED阴极端被置低。如图22-2示,本实验模块使用74LS273来控制行输入线,并通过9013提供电流驱动。将74LS273的某输出置1,则对应的LED阳极端被置高。每次系统重新开启或总清后,74LS273输出为全0,LED显示被关闭。 通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。

Fig 22-1 LED模块及列扫描电路 Fig 22-2 行扫描电路 Fig 22-3地址译码电路 本实验模块使用4块WTD3088组成16×16点阵,以满足汉字显示的要求。为了方便的控制四个单元,使用了一片74LS139译码,产生四个地址片选信号:CLKR1= CSLED,CLKR2= CSLED+1,用于行控制的两片74LS273;CLKC1= CSLED+2,CLKC2= CSLED+3,用于列控制的两片74LS374。实验接线:按示例程序,模块的CSLED接51/96地址的8000H。 四.实验说明

《16×16点阵LED字符显示器设计毕业论文初稿》

引言 LED显示屏是一种通过控制半导体发光二极管的显示方式,用来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。图文显示屏可与计算机同步显示汉字、英文文本和图形;视频显示屏采用微型计算机进行控制,图文、图像并茂,以实时、同步、清晰的信息传播方式播放各种信息,还可显示二维、三维动画、录像、电视、VCD节目以及现场实况。 LED显示屏显示画面色彩鲜艳,立体感强,静如油画,动如电影,广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。在实际应用中的显示屏由于成本和可靠性的因素常采用一种称为动态扫描的显示方法。 本文设计的是一个室内用16x16的点阵LED图文显示屏,图形或文字显示有逐字显示、向上滚动、向左滚动等显示方式。 它的优点:亮度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定。

第一章设计要求与方案论证 1.1 设计要求 设计一个16×16点阵LED字符显示器,要求如下: 显示器采用AT89S52单片机作控制器,12MHz晶振,16×16点阵共阳LED显示器。16×16点阵LED字符显示器能显示“电气自动化”五个文字。显示方式可由S1、S2和S3选择,S1为逐字显示,S2为向上滚动显示,和S3为向左滚动显示。 1.2 系统基本方案的选择和论证 1.2.1 核心电路的选择 方案一:采用89C51单片机 采用89C51作为硬件核心,内部具有4KB Flash ROM存储空间,能运用于3V 的超低压工作,而且与MCS-51系列单片机完全兼容,但是运用于电路设计中时由于不具有ISP在线编程技术,当在对电路进行调试时,由于程序的过错修正或对程序的新增功能需要烧入程序时,对芯片的多次插拔会对芯片造成必定的损坏。 方案二:采用8051单片机: 8051单片机最早由Intel公司推出,内部具有4KB ROM存储空间。其后多家公司购买了8051的内核,使得以8051为内核的MCU系列单片机在世界上产量最大,应用也最广泛。有人推测8051可能最终形成事实上的标准MCU芯片。LG公司生产的GMS90系列单片机,与Intel MCS-51系列、Atmel 89C51/52,89C2051等单片机兼容,CMOS技术,高达40MHZ的时钟频率。应用于:多功能电话,智能传感器,电度表,工业控制,防盗报警装置,各种计费器,各种IC卡装置、DVD、VCD、CD-ROM。 方案三:采用AT89S52单片机 AT89S52 是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash 存储器。使用Atmel公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8位CPU和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。该芯片且具备在线编程可擦除技术,当在对电路停止调试时,因为顺序的过错修正或对步伐的新删功能

16X16点阵LED显示

毕业设计说明书 课题名称: 16乘16点阵显示电路的电路原理图及pcb绘制 学生姓名 专业 班级 时间 指导教师

姓名 设计题目16乘16点阵显示电路的原理图及pcb 绘制 指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3.对原理图里面的原件进行封装 4.创建链接表 5.导入到PCB里面,并排列连接 6.制造PCB版 7.

姓名 设计题目16乘16点阵显示电路的原理图及pcb绘制指导教师 设计目的利用单片机控制显示屏,显示相应字幕掌握PROTEL99SE软件的操作和应用 理解和运用芯片 设计摘要 本设计是一16×16点阵LED电子显示屏的设计。 整机以单片机AT89C51为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制一个行驱动器 74LS168和两个列驱动器 74LS164来驱动显示屏显示。采用4块8×8点阵LED显示模块来组成16×16点阵显示模式。 单片机控制系统程序采用单片机以C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写(即直接点阵画图),也可从标准字库中提取。 论文着重介绍点阵显示的制作过程,即元器件的制作,单个封装,原理图的绘制以及PCB版布线的过程 设计规划1.建立库原件里面的没有的原件,并做出封装 2.绘制点阵点阵显示的原理图 3对原理图里面的原件进行封装 4创建链接表 5导入到PCB里面,并排列连接 6制造PCB版

8﹡8点阵LED字符显示器

单片机课程设计与制作任务书 专业:学号:姓名: 一、设计题目: 8﹡8点阵LED字符显示器的设计与制作 二、设计要求: 1、具有对文字及时间显示功能; 2、文字时间采用一个LED字符显示器分按键显示,使用按键切换; 3、能够用简单的按键对文字和时间进行设定或调整; 三、设计内容: 硬件设计、软件设计及样品制作 四、设计成果形式: 1、设计说明书一份(不少于4000字); 2、样品一套。 五.完成期限: 2007年 07月 01日 指导教师:年月日 教研室:年月日

目录 第一章引言 (5) 第二章方案选择及总体设计 (6) 第三章控制系统的硬件设计 (8) 第四章软件设计及程序清单 (11) 第五章样品的制作与调试 (16) 第六章使用说明 (18) 第七章结束语 (20) 参考文献 (21)

附录 (21) 第一章引言 当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等。现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用;随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设备的工作状态和生产过程状态的显示与监控起到了非常重要的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。据不完全统计,1991年,全国LED显示屏的产值还不到亿元人民币,而在1993年,仅蓝通公司一家企业的显示屏产值即达1亿多人民币。 由于LED电子显示屏具有所显内容信息量大,外形美观大方,操作使用方便灵活.适用于火车,汽车站,码头,金融证券市场,文化中心,信息中心体育设施等公共场所.该项目广泛涉及了计算机及电子技术中的电源技术,单片机技术,数据通讯技术,显示技术,存储技术,系统软件技术,接口及驱动等技术.我国经济发展迅猛,对信息传播有越来越高的要求.可以相信,LED电子显示屏以其色彩鲜亮夺目,大的显示信息量,寿命长,耗电量小,重量轻,空间尺寸小,稳定性高,易于操作,安装和维护等特点,将在社会经济发展中扮演越来越重要的角色。 第二章方案选择及总体设计

LED显示屏基础知识

LED显示屏基础知识 (一)、概述: LED电子显示屏是集计算机技术、光电技术、微电子技术等一身的现代高科技产品。它广泛应用在车站、码头、办公大厅等公共场所,是广告宣传、新闻发布的最佳首选媒体,它不但可以播放文字、图像还可以播放VCD、DVD、TV等多媒体节目,能对其播放的文件进行编辑,利用计算机应用软件进行特殊处理后播放,还能显示时钟、天气温度等信息。 (二)、系统组成(参见LED显示屏连接示意图) 1、电子显示屏屏体 2、计算机及网络控制系统 3、通信系统 4、显示屏供配电系统 5、多媒体音、视频编辑、制作、播放系统 (三)、显卡的设置 在显示器桌面空白处单击鼠标右键,进入“属性”设置项,单击“屏幕保护程序”,将屏幕保护程序设为“无”,然后单击右下脚“电源”项,将电源使用方案下的“关闭监视口、关闭硬盘、系统待机、系统休眠”全设为“全不”然后应用该设置 回到主设置菜单,单击“设置”选项。将显示屏分辨率设为1024X768,颜色质量设为“最高32位”应用设置。 单击右下角“高级”选项,单击“监视器”项设屏幕刷新频率为60HZ, 单击“显示”项,使第四项即PDF项按扭显示为绿色,应用该设置显卡设置完毕. (四)、网线制作 使用普通8芯5类网线传输距离在100米内。 网线压线线序为:白橙、橙、白绿、蓝、白蓝、绿、白棕、棕,即国标网线568B压法。

一、相关配件说明 1、框架结构图,此图仅供参考(图1-1) 1-1 2、箱体后视结构 2.1 B、C为RJ45水晶头插座,用于连接各箱体之间的信号线。 3、控制系统 3.1 显示卡如图(1-3) ·P为数字视频输出口(DVI) ·Q为CRT显示接口 3.2发送卡如图(1-4) 1-3 ·DVI为数字视频输入口 ·U、D为RJ45信号线输出口 ·232为串口信号输入 3.3 接收卡如图(1-5) ·P为接收卡电源线输入端 ·A为RJ45信号线输入口 ·B为RJ45信号线输出口 U D 232 DVI P A B 1-4 1-5

16 16点阵LED循环显示汉字汇编语言设计

LED16X16点阵显示 课程设计报告 学院信息工程学院 专业通信工程 班级0801 学生姓名 指导老师 二0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故

使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED的阴极,相邻两列线间绝缘。 在这种形式的LED点阵模块中,若在某行线上施加高电平(用“1”表示),在某列线上施加低电平(用“0”表示)。则行线和列线的交叉点处的LED就会有电流流过而发光。比如,Y7为1,X0为0,则右下角的LED点亮。再如Y0为1,X0到X7均为0,则最上面一行8个LED 全点亮。 现描述一下用动态扫描显示的方式,显示字符“B”的过程。其过程如图3.1 图3.1用动态扫描显示字符“B”的过程 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本次设计。

16-16点阵LED显示汉字汇编语言

LED16X16点阵显示课程设计报告 学院 专业 班级 学生 指导老师

一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视

题目:16×16点阵LED电子显示屏的设计

机器人创新设计 课程设计报告书 题目:16×16点阵LED电子显示屏的设计 姓名:张津 学号:1613010320 专业:国际经济与贸易

指导老师:于大泳 设计时间:2017年3月 管理学院 目录 1. 引言 (3) 1.1 设计意义 (3) 1.2 系统功能要求 (3) 2. 方案设计 (4) 3. 硬件设计 (5) 4. 软件设计 (8)

5. 系统调试 (9) 6. 设计总结 (11) 7. 附录A.:源程序 (11) 8. 附录B.:作品实物照片 (16) 9. 参考文献 (17) 16×16点阵LED电子显示屏的设计 1.引言 1.1 设计意义 目前广告牌具有显示内容丰富、信息量大、信息更换速度快等特点。因此传统的LED显示屏控制系统已经越来越不能满足现代广告宣传业的需要。而利用PC机通信技术控制LED显示屏,则具有显示内容丰富,信息更换灵活等优点。

1.2 系统功能要求 本设计是一16×16点阵LED电子显示屏的设计。整机以40脚单片机AT89C51为核心,通过该芯片控制列驱动器74HC595来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏能显示1个汉字。显示可以采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。开关控制显示“矿大电气五班”、“电子综合设计”的字幕。 同时还要实现的功能:5V的电压输入,时钟电路的设置,复位电路的设置,单片机给74HC154芯片同时给E1和E2低电平,74LS154才能正常的工作。例如如果想使左上角LED点亮,则Y0=1,X0=0即可。应用时限流电阻可以放在X轴或Y,16*16LED点阵如图1.2.1所示。 图1.2.1 2.方案设计 16X16点阵LED工作原理说明 : 16X16点阵共需要256个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1 电平,某一行置0电平,则相应的二极管就亮;因此要实现一根柱形的亮法,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的

8 16X16LED点阵显示程序

16×16按字显示程序: ;P0和P2口输出字型码,P1口输出列线扫描。 ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面MOV P0,A ;清除P0口 ANL P2,#00 ;清除P2口 MOV R2,#200 D100MS: MOV R3,#250 ;延时100毫秒 DJNZ R3,$ DJNZ R2,D100MS

MOV 20H,#00H ;字型码指针赋初值 L100: MOV R1,#10 ;每个字的停留时间 L16: MOV R6,#16 ;每个字16个16位码 MOV R4,#00H ;列线扫描指针清零,接4-16译码器,。 MOV R0,20H ;字型码指针存入R0 L3: MOV A,R4 ;列线扫描指针存入A MOV P1,A ;列线扫描输出 INC R4 ;扫描指针加1,指向下一列 MOV A,R0 ; 取码指针存入A MOV DPTR,#TABLE ;取数据表的上半部分的代码 MOVC A,@A+DPTR MOV P0,A ; 输出到P0 INC R0 ;取字型码指针加1,取下一个码。 MOV A,R0 MOV DPTR,#TABLE ;取数据表下半部份的代码 MOVC A,@A+DPTR MOV P2,A ;输出到P2口 INC R0 ;取字型码指针加1,取下一个码。 MOV R3,#02 ;扫描1毫秒 DELAY2:MOV R5,#248 DJNZ R5,$ DJNZ R3,DELAY2 MOV A,#00H ;清除屏幕 MOV P0,A ANL P2,#00H DJNZ R6,L3 ;一个字16个码是否完成? DJNZ R1,L16 ;每个字的停留时间是否到了? MOV 20H,R0 ;取码指针存入20H(静态显示) CJNE R0,#224,L100 ;7个字224个码是否完成? JMP LOOP ;反复循环 16×16滚动显示程序: ORG 0000H SJMP LOOP ORG 0080H LOOP:MOV A,#00H ;开机初始化,清除画面 MOV P0,A ;清除P0口

8×8LED点阵显示数字A到F

摘要 本文研究了基于AT89S51单片机LED8×8点阵显示屏的设计并运用PROTEUS软件进行原理图绘制,运用KEIL软件进行仿真和调试。主要介绍了LED8×8点显示屏的硬件电路设计、汇编程序设计与调试、PROTEUS软件绘制原理图和实物制作等方面的内容,本显示屏的设计具有体积小、硬件少、电路结构简单及容易实现等优点。能帮助广大电子爱好者了解点阵显示原理,认识单片机的基本结构、工作原理及应用方法,并提高单片机知识技术的运用能力。利用单片机来设计的系统,既能实现系统所需的功能,也可以满足计数的准确、迅速性,并且电路简单,操作简单,通用性强。

目录 1.绪论 (2) 1.1前言 (2) 1.2国内外的研究概况 (2) 2. 系统概述 (3) 3.课程设计目的 (3) 4.课程设计题目和任务 (3) 5.设计内容 (4) 5.1系统功能的描述 (4) 5.2 系统硬件设计 (4) 5.2.1 AT89S51芯片的介绍 (4) 5.2.2 单片机系统设计 (7) 5.2.3 单片机的发展趋势 (8) 5.2.4 时钟电路的设计 (9) 5.2.5 复位电路的设计 (9) 5.2.6驱动电路的设计 (10) 5.2.7 8×8LED点阵 (10) 5.3 计数器初值计算 (11) 5.4 字母A到F点阵显示代码的形成 (11) 5.5 程序流程图 (12) 5.6 源程序 (12) 6. 调试及性能分析 (13) 6.1系统调试 (13) 6.1.1软件调试 (13) 6.1.2硬件调试 (14) 6.2设计分析 (14) 7.设计总结 (14) 附件调试结果 (15) 参考书目 (16)

88点阵显示汉子和数字

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2-17 第 1 学期课程名称单片机应用系统指导教师职称副教授 学生姓名未知专业班级电气工程及其自动化学 题目8*8LED点阵显示文字_____________________ 成绩起止日期2016 年11 月21 日~2016 年12 月2 日 目录清单 湖南工业大学

课程设计任务书 20 16—20 17第一学期 电气与信息工程学院电气工程及其自动化专业1404 班级课程名称:单片机应用系统____________________ 设计题目:8*8LED点阵显示文字______________________ 指导教师(签字):年月日系(教研室)主任(签字):年月日

(单片机应用系统) 设计说明书 8*8LED点阵显示文字 起止日期:2016 年11月21 日至2016年12 月2日 学生姓名哈哈 班级电气工程0000 学号000000000 成绩 指导教师(签字) 电气与信息工程学院(部) 2016年12月7日

目录 第一章系统概述 (1) 1.1设计任务及目的 (1) 1.2 设计发展前景 (1) 第二章系统硬件设计与分析 (3) 2.1 复位电路 (3) 2.2 晶振电路 (3) 2.3 显示电路 (4) 第三章程序设计 (6) 3.1 汉子与数字的编码 (6) 3.2 定时程序 (6) 3.3 完整程序 (6) 第四章软件仿真与测试 (11) 4.1 滚动循环显示 (11) 4.2 逐字切换显示 (11) 第五章总结 (13) 参考文献 (14) 附录(单片机源程序) (15)

LED显示屏知识大全(扫盲专用)

LED显示屏知识大全(扫盲专用) 2017年5月

目录 2017年5月 (1) 一.LED显示屏的分类 (3) 二.LED显示屏的基本构成 (3) 三.LED显示屏涉及的名词概念 (4) 1、像素: (4) 2、显示模块: (4) 3、显示模组: (5) 4、LED显示屏屏体: (6) 5、点距: (8) 6、扫描方式: (8) 四.LED显示屏的两种常规组装方式 (9) 五.LED显示屏显示原理 (10) 六.单元板/模组认识 (11) 七.显示板芯片简介 (13) 八.控制信号与显示接口 (17) 1、单元板: (18) 2、电源: (18) 3、控制卡: (19) 4、连线: (19) 5、配件制作: (19) 6、布线指南: (20) 7. 外框制作: (22) 十.08接口转12接口原理 (29) 十二.汇总LED显示屏中的常见IC (30)

一.LED 显示屏的分类 分类方式 品 种 说 明 使用环境 室内LED 显示屏 室内LED 显示屏在室内环境下使用,此类显示屏亮度适中、视角大、混色距离近、重量轻、密度高,适合较近距离观看。 室外LED 显示屏 室外LED 显示屏在室外环境下使用,此类显示屏亮度高、混色距离远、 防护等级高、防水和抗紫外线能力强,适合远距离观看。 显示颜色 单基色LED 显示屏 单基色LED 显示屏由一种颜色的LED 灯组成,仅可显示单一颜色,如红色、绿色、橙色等。 双基色LED 显示屏 双基色LED 显示屏由红色和绿色LED 灯组成,256级灰度的双基色显示屏可显示65,536种颜色(双色屏可显示红、绿、黄3种颜色)。 全彩色LED 显示屏 全彩色LED 显示屏由红色、绿色和蓝色LED 灯组成,可显示白平衡和16,777,216种颜色。 显示功能 图文LED 显示屏(异步屏) 图文LED 显示屏可显示文字文本、图形图片等信息内容。可联网脱机显示。 视频LED 显示屏 (同步屏) 视频LED 显示屏可实时、同步地显示各种信息,如二维或三维动画、录像、电视、影碟以及现场实况等多种视频信息内容。 二.LED 显示屏的基本构成 1、异步屏: 2、同步屏:

Proteus仿真1616LED点阵显示汉字.docx

例.利用Proteus仿真一块16×16LED点阵,并在其上循环显示汉字“郑州大学”。 Proteus中只有5×7和8×8等LED点阵,并没有16×16LED点阵,而在实际应用中,要良好地显示一个汉字,则至少需要16×16点阵。下面我们就首先介绍使用8×8点阵构建16×16点阵的方法,并构建一块16×16LED点阵,用于本例的显示任务。 首先,从Proteus元件库中找到“MATRIX-8X8-RED”元器件,并将四块该元器件放入Proteus文档区编辑窗口中。此时需要注意,如果该元器件保持初始的位置(没有转动方向),我们要首先将其左转90°,使其水平放置,那么此时它的左面8个引脚是其行线,右边8个引脚是其列线(当然,如果你是将右转,则右边8个引脚是行线)。然后我们将四个元器件对应的行线和列线分别进行连接,使每一条行线引脚接一行16个LED,列线也相同。并注意要将行线和列线引出一定长度的引脚,以便下面我们使用。 连接好的16×16点阵如下图所示: 连接成如上图的16×16点阵只是第一步,这样分开的数块并不能达到好的显示效果,下面我们要将其进一步组合。组合实际上很简单,首先选中如上图中右侧的两块8×8点阵,然后拖动并使其与左侧的两块相并拢,如下图所示: 我们可以看到原来的连线已经自动隐藏了,至于线上的交点,我们不要去动。然后,我们再来最后一步,选中下侧的两块点阵,并拖动使其与上侧的两块并拢,最后的效果如下图所示: 可以看到,原来杂乱的连线现在已经几乎全部隐藏了,一块16×16的LED点阵做成了。需要注意,做成的LED点阵的行线为左侧的16个引脚,下侧的16个引脚为其列线,而且其行线为高电平有效,列线为低电平有效。然后,我们将其保存,以便以后使用。 制作好16×16LED点阵,我们接下来来进行本例的实验。 由于本例的软件程序需要首先注意硬件连接,所以,我们首先来看一下本例的电路图。电路图中用到了74159集成芯片,其效用是将4位输入译为16输出(低电平有效),刚好满足我们的要求。电路图中的其他元器件我们在以前的仿真实例中都已介绍过,此处不再赘述。最终完成的电路图如下所示:

AT89C52控制的8×8点阵LED字符显示器的设计(1)

目录 第1章:引言 (2) 第2章:方案论证 (3) 2.1:方案选择 (3) 2.2:单片机最小系统设计 (3) 2.3:按键及接口设计 (5) 2.4:显示及接口设计 (5) 2.5:驱动电路的设计 (7) 2.6:电源电路的设计 (7) 第3章:8×8点阵显示器控制系统的硬件设计 (8) 3.1:硬件系统的总体设计 (8) 3.2:单片机AT89C52的分析 (8) 3.3:具体电路及功能分析 (11) 3.4:8×8点阵显示电路原理图 (11) 第4章:8×8点阵控制系统的软件设计 (12) 4.1:软件总体设计及功能的描述 (12) 4.2:单片机系统资源分配 (12) 4.3:软件主程序和显示程序流程图 (13) 第5章:样品的制作与调试 (14) 5.1:原材料的选择与采购 (14) 5.2:印刷电路板的设计与制作 (14) 5.3:单片机的测试 (15) 5.4:硬件及软件的调试 (15) 5.5:整机的测试与调试 (15) 第6章:使用说明书 (16) 第7章:后记 (16) 参考文献 (17) 附录1:源程序 (18)

AT89C52控制的8×8点阵LED字符显示器的设计 摘要:本系统设计思路是:利用单片机对整个系统进行总体控制,进行显示所要显示的字符。显示方式分为三种:逐字显示、上滚显示、左滚显示,其中显示字模数据由单片机输入显存,点阵的点亮过程有程序控制,由驱动电路完成,点阵采用单色显示,该显示器电路的特点是:点阵的动态显示过程占用时间比较短,亮度比较高,而且亮度可以改变电阻进行调节。 关键词:LED点阵;嵌入式系统;单片机;显存; Abstract:This design intent to take 8052 single chip to control entire system generally. The display word-module data is input by single chip to display memory. The lightening process is accomplished by other circuit ( programme and drive) automatically. Dynamic display process engross a little of the time . The lighting is able to be adjusted by changing the resistance . The lighting ways: single , rolling from down , rolling from right. Key words:LED lattice;embedded system;single chip;disply memory 第1章引言 当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等。现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用;随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设备的工作状态和生产过程状态的显示与监控起到了非常重要的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。据不完全统计,1991年,全国LED显示屏的产值还不到亿元人民币,而在1993年,仅蓝通公司一家企业的显示屏产值即达1亿多人民币。

相关文档
最新文档