行业分析 半导体设备和材料国产化机遇(中)

行业分析半导体设备和材料国产化机遇(中)

?【材料】说:

2014年以来中国大陆掀起新一轮半导体产业投资热潮,预计今后10年内将有数千亿元资金投入到半导体产业中,中国半导体产业迎来黄金发展时期。当前进入半导体生产线建设密集期,对半导体设备和材料的需求快速增长,大陆年需求规模有望超过200亿美元,国内配套的设备和材料厂商迎来进口替代大机遇。三、主要半导体设备与材料厂商1、全球半导体设备龙头

1) 美国应用材料公司应用材料是世界500强公司之一及全球最大的半导体生产器材制造商,于1967年在美国特拉华州注册成立。截至2016年5月2日,市值为229.7亿美元。2015年,公司实现营业收入96.59亿美元,净利润13.77亿美元,投入研发支出14.51亿美元,累计获得专利1341项,员工人数约14,600人。公司营业收入主要来自半导体产品事业部(SSG)、全球服务产品事业部(AGS)、平板显示产品事业部以及能源与环境解决方案产品事业部(EES)。近三年来,最大的客户为三星和台积电。公司的发展历史:

1967年,公司成立。

1969年,生产出第一台辐射加热化学气相沉积反应器。1972年,首次公开发行股票。

1975年,与Fairchild设立合资企业Great Western Silicon,从事晶圆制造所需多晶硅的生产。

1976年,生产出第一台商用CVD设备。

1980年,收购Lintott Engineering Ltd.的离子注入业务。1985年,发布第一台能够全自动生产的离子注入机Precision Implant 9000。

1989年,成为第一家能够利用自身生产线提供200mm晶圆产能的半导体设备制造企业。

1992年,成为世界最大的半导体设备制造企业。

1995年,凭借Mirra CMP设备进入化学机械平坦化市场;凭借RTP Centura进军快速高温处理市场。1997年,成为第一家将300mm晶圆生产设备出售的半导体设备制厂商;收购Opal and Orbot Instruments, 进军制程诊断和控制设备领域。1998年,收购Consilium, 进军制造执行系统软件业务领域。1999年,发布第一款能够真实估算全球等全氟化物气体的主流产品。2000年,收购Etec Systems, Inc.,进军模具制造市场及薄膜晶体管阵列检测业务。2001年,收购Schlumberger的电子束晶圆检测业务;在台湾桃园成立亚洲洲际物流中心。

2004年,并购Metron Technology,Metron Technology为世界最大的半导体企业独立服务提供商。

2005年,发布CenturaAdvantEdge系统, 该系统采用了最先进的硅片刻蚀技术,能够将300mm晶圆的误差控制在3nm之

内。

2006年,发布Producer GT,该产品为最高效。投入产出比最高的化学气象沉积平台; 收购Applied Films Corporation,该公

司为薄膜沉积设备的主要供应商。

2007年,在德国Alzenau设立科技中心,是下一代太阳能技术应用最先进设备中心之一;收购HCT Shaping Systems,扩大服务范围,帮助客户降低光伏电池制造成本; 引进SunFab薄膜生产线, 该生产线为第一条生产5.7平米玻璃基板的集成生产线。

2008年,推出EnduraExtensa PVD, 行业内唯一能够量产应用于sub-55内存芯片的铜互联阻隔薄膜;收购Baccini, 自动布线及c-Si、PV制造领域测试设备的一流供应商; 推出Inflexion边缘抛光设备,进军晶圆边缘清洗市场。

2009年,并购Advent Solar;完成对Semitool的并购。

2010年,通过在台湾设立工厂,扩大显示屏和太阳能设备产能15,000平方米;在新加坡设立全球半导体设备制造分拨中心。2011年,在高端手机屏幕制造领域推出改进的LTPS技术;并购瓦里安半导体设备公司;首次在中国进行风险投资。

2012年,推出应用于制造超高密度LCD和OLED显示屏的金属氧化物技术及LTPS技术;生产出用于制造20nm晶体管结构的Varian VIISta Trident离子植入装臵。

2013年,推出全新的应用于高性能晶体管的外延技术;与京东

方合作研发市场领先的下一代电视及手机显示屏技术;对Oncoscope, Inc.和Passport Systems, Inc.等两家图像探测公司进行风险投资。

2014年,推出行业领先的PVD,Ion Implant,CVD及CMP解决方案,帮助客户加快3D设计芯片进程;钴工艺获得突破性进展,可有效缓解铜互联的瓶颈,并为摩尔定律的继续推进提供可能。

2015年,推出高效的OlympiaTM ALD系统和CentrisTM Sym3TM Etch系统,实现3D领域原子级别精准制造;宣告Centura? TetraTM Z Photomask Etch系统可在10nm级别延伸多重曝光。2) 荷兰阿斯麦(ASML)公司阿斯麦(ASML)的总部位于荷兰Veldhoven,是全球最大的半导体设备制造商之一, 为半导体生产商提供光刻机及相关服务。阿斯麦在全球半导体光刻机设备领域的市场占有率超过80%。

ASML的产品线分为PAS系列,Yield Star系列,以及采用TWINSCAN系统的XT系列、NXT和NXE系列。TWINSCAN 系列是目前世界上精度最高,生产效率最高,应用最为广泛的高端光刻机型。目前,全球绝大多数半导体生产厂商,都向ASML采购TWINSCAN,例如英特尔(Intel),三星(Samsung),海力士(Hynix),台积电(TSMC), 中芯国际(SMIC)等。公司的发展历史:

1984年,Philips和Advanced Semiconductor Materials

International (ASMI)共同创立ASML公司,新公司用于发展光刻技术。

1986年,PAS 2500上市,标志着半导体产业有了更高的校准技术。

1988年,开始进军亚洲市场。此外,ASMI退出ASML,并被飞利浦收购。

1991年,发布突破性产品PAS 5500。1995年,在阿姆斯特丹和纳斯达克首次公开发行股票,并将产品推广到荷兰Veldhoven。2000年,收购Silicon Valley Group。2001年,推出TWINSCAN系统及双平台晶圆处理技术。2007年,出售第一台TWINSCAN XT:1900i。2010年,出售第一台NXE:3100, 这是一台原始的EUV光刻仪器。与之前的光刻机相比,它能够使用更短波长的光科技术,使得客户可以制造更小规格的产品,在同一块芯片上封装更多的晶体管。

2012年,与英特尔、台积电和三星等三家主要客户共同成立投资计划,加快下一代光刻技术的发展。

2013年,完成对Cymer的收购,加快发展EUV。Cymer公司是世界领先的准分子激光源提供商,发明了如今半导体制造中最关键的光刻技术所需的深紫外(DUV)光源。同时,继续改进浸没式光刻系统的性能,推出第一台NXT:1970Ci。

2、全球半导体材料龙头1) 日本信越集团(Shinetsu)信越集团1926年成立于日本,是全球领先的高科技材料供应商,在半导

体硅片领域市场份额第一。目前,其海外销售额占到全集团的大约三分之二。PVC方面,位于美国的Shintech是全球最大的PVC厂商,产品销往美国国内和世界各地。硅片方面,信越集团在日本、美国、马来西亚、欧洲、台湾均设有生产网点,形成能够分散业务风险的网络体系。此外,在经济发展潜力巨大的中国以及亚洲的多个国家,信越集团设立了各种业务的生产及销售网点,面对将来日益扩大的需求,积极开展投资活动。公司的发展历史:

1926年,创立信越氮肥料株式会社(1940年改为信越化学工业株式会社)。

1927年,建设直江津工厂,开始生产碳化物,石灰氮。

1938年,设臵矶部金属试验所(现群马事业所)。

1939年,开始生产金属硅。

1945年,设臵武生工厂。

1953年,开始工业生产有机硅。

1957年,开始生产聚氯乙烯,苛性钠,氯。

1960年,建立CIRES S.A.,开始生产高纯度硅、醋酸乙烯单体和聚乙烯醇。

1962年,开始生产纤维素衍生物。

1965年,开发医药用纤维素衍生物。

1967年,开始生产高纯度稀土。

1972年,开发稀土磁铁。

1973年,设立美国Shintech 和S.E.H.马来西亚,开发环氧模塑料。

1976年,设臵聚氯乙烯技术研究所(现为聚氯乙烯·高分子材料研究所),有机硅电子材料技术研究所。

1977年,设臵合成技术研究所,磁性材料研究所。

1979年,设立S.E.H. America(美国),开始生产合成石英。1980年,开发人工合成信息素。

1985年,设立Shin-Etsu Silicones of America。

1988年,设臵精密功能材料研究所。

1993年,开始正式生产光纤用预制件。

1996年,收购Simcoa Operations。

1997年,设立Silica Products。

1998年,光刻胶的企业化。

1999年,开始建设Shintech’s Louisiana工厂,收购荷兰的聚氯乙烯事业,创立Shin-Etsu PVC

2000年,开始建设光纤用预制件的新工厂,Shintech’s Louisiana 工厂的第一期工程竣工。

2001年,设立亚洲Silicones Monomer,设臵新功能材料技术研究所,设立Shin-Etsu Silicones Thailand,Shintech’s Louisiana 工厂竣工,开始商业生产300mm硅片。

2002年,设立浙江信越精细化工。

2003年,Shin-Etsu Silicones of America,Inc.Freeport工厂竣工,

设立信越有机硅国际贸易(上海),收购在瑞士的某化学厂商的纤维素事业,创立SE Tylose。

2005年,开发钕系稀土族磁铁的新高性能化技术。

2006年,三益半导体工业的股票公开经常去买(TOB)完成。2007年,开发RoHS限制对应光隔离器,共同开发凸版印刷和最尖端光刻掩膜板。2008年,发世界最大级的永久磁铁式磁电路。2011年,设立Shin-Etsu Magnetic Materials Vietnam 公司。2012年,设立信越(长汀)科技有限公司。2015年,正式决定建设生产乙烯工厂。2) 美国陶氏化学公司(Dow Chemical)陶氏化学1897年成立于美国,2015年和杜邦合并后,成为世界排名第二的国际跨国化工公司(仅次于巴斯夫)。陶氏在世界50多个国家和地区建有工厂,业务涉及全球180个国家和地区,产品主要应用于农业、自动化、建筑、消费、电子材料、能源与水资源、工业、基础设施以及包装等领域。

陶氏电子材料事业群是电子工业领域的全球性材料和技术

供应商,引领半导体、互联技术、表面处理、光伏技术、显示、LED和光学产品领域的发展。目前,市场上95%的智能设备都采用陶氏的设备进行生产。公司的发展历史:

1897年,化学家赫伯特·亨利·陶氏成立公司,起初从事漂白剂和溴化钾业务。

20世纪30年代,陶氏开始生产树脂,并于1935年首次生产出乙基纤维素,在1935生产出聚苯乙烯。1942年,通过在加拿大

安大略省设厂实现了海外扩张。

1952年,在日本设立第一家海外分公司。

1999年,以93亿美元的股票收购联合碳化物公司(UCC)。合并后公司成为世界第二大化工公司,仅次于杜邦。

2006年,宣布关闭位于加拿大萨尼亚、南威尔士、威尼斯以及阿尔伯特的五家工厂。同年,与俄罗斯领先的聚氨酯系统生产商Izolan成立合资公司,厂址位于弗拉迪米尔市。2007年,发布公告称将在未来两年内退出汽车经销业务。2008年,宣布以154亿美元的价格收购罗门哈斯公司,此次收购的目的在于进军更为专业的化学品领域,该领域具有更高的利润率和竞争壁垒。同年,由于经济危机的影响, 陶氏计划包括关闭20家工厂,暂时闲臵180个工厂,并取消5000个全职工作(约占雇员总数的11%)和6000个承包商职位。2009年,以150亿美元收购罗门哈斯。

2011年,与沙特阿拉伯石油公司成立合资企业Sadara化学公司。

2015年3月,陶氏与奥林公司达成协议,计划分离氯业务与奥林公司成立合资公司。

2015年12月,宣布以48亿美元以及Hemlock半导体公司40%左右的股份收购康宁公司在合资公司陶氏康宁中的股份。2015年12月,宣布与杜邦公司合并,两家公司在密歇根和特拉华的总部将继续存在。新成立的公司将分割为三家独立企业,

分别专注于专农业、化工、特种产品产业。3、国内主要半导体设备供应商2014年大陆进口半导体设备总额为43.66亿美元。根据中国电子专用设备工业协会的统计,2014年1~12月中国大陆13种主要半导体设备进口数量为14647台,进口额为43.66亿美元,平均单价29.8万美元。考虑到2014年国内主要设备厂商的产值约39.63亿元,那么2014年国内半导体设备市场总额接近50亿美元。制造半导体器件或集成电路的化学气相沉积装臵、等离子体干法刻蚀机和分步重复光刻机等三种设备共进口1578台、25.35亿美元,位居进口额的前三位。其中:制造半导体器件或集成电路的化学气相沉积装臵进口10.11亿美元,占进口总额的23.2%,位居首位;等离子体干法刻蚀机进口8.45亿美元,占进口总额的19.4%;分步重复光刻机进口6.79亿美元,占进口总额的15.5%。制造硅单晶和硅片设备中的硅单晶炉、切割机和研磨机等三种设备,2014

年共进口1871台、3.43亿美元。其中,切割设备进口1298台、2.3亿美元,硅单晶炉进口239台、6303万美元,研磨机进口334台、4943万美元。后封装设备中的引线键合机和塑封压机二种设备共进口8828台、5.56亿美元。其中引线键合机进口8463台、4.71亿美元。尽管整体规模仍然较小,国内已经建立了较为完备的半导体设备产业,在各个环节都有多家设备厂商。随着技术实力和客户认可度的不断提升,国产设备有望实现由低端向高端,逐步实现部分产品的进口替代。

下面是我们梳理的国内主要半导体设备公司。七星电子(全称:北京七星华创电子股份有限公司)七星电子传承五十多年电子装备及元器件的生产制造经验,是一家以电子专用设备

和电子元器件为主要产品的高科技公司。电子专用设备方面,公司以大规模集成电路制造工艺技术为核心,研发生产了集成电路工艺设备、太阳能电池制造设备、气体质量流量控制器(MFC)、TFT设备、真空热处理设备、锂离子电池制造设备等系列产品,广泛应用于半导体、光伏、电力电子、

TFT-LCD、LED、MEMS、锂电等多个新兴行业。公司的集成电路设备类产品包括CVD、清洗机、立式氧化炉,气体流量控制器等。公司先后承担了12英寸90/65nm立式氧化炉、质量流量控制器、65nm超精细清洗设备、12英寸硅片立式高温退火炉、22-45nm LPCVD(低压化学气相沉积设备)以及14-20nm ALD(原子气相沉积系统)重大专项研发项目。公司的12英寸立式氧化炉已通过生产线的验证,进入产业化阶段,工艺技术涵盖90-28nm,已实现销售10台(包括中芯国际B2的2台28nm氧化炉)。清洗工艺方面,公司研发有45-65nm

铜互连清洗设备和65nm超精细清洗设备。

北方微电子(全称:北京北方微电子基地设备工艺研究中心

有限责任公司)作为国内领先的高端半导体装备制造企业,北方微电子所开发的刻蚀设备(ETCH)、化学气相沉积设备(CVD)、物理气相沉积设备(PVD)等核心产品已广泛应用于集

成电路、LED、MEMS、功率半导体、先进封装、光通信及化合物半导体等尖端领域。经过十余年的发展,形成了刻蚀工艺、薄膜工艺、等离子技术、精密机械、自动化及软件、超高真空等核心技术优势,为微电子产业的快速发展提供了值得信赖的产品和服务。秉承科技创新,用户至上的理念,北方微电子正致力于成为一家具有国际影响力的高端装备及工艺解决方案提供商.公司的PVD、刻蚀机等设备已经进入中芯国际的28nm量产线,实现高端设备的部分进口替代。在LED和MEMS领域的刻蚀机市场,以及先进封装领域的PVD 市场,公司在国内的占有率已经超过50%,遥遥领先海外竞争对手。公司的主要客户包括中芯国际、三安光电、晶方科技等国内一线厂商。2015年北方微的12寸PVD设备取得重大突破,收到海外主流IC厂订单,正式进入国际顶尖IC大产线。刻蚀设备方面,12英寸55nm刻蚀机已进入中芯国际生产线;28nm刻蚀机也已供货中芯国际和上海华力微电子;研发的14nm刻蚀机也已完成了工程样机整体设计,并将进入大生产线测试。薄膜沉积设备领域,28nm PVD于2015年上半年被中芯国际北京厂指定为28nm制程客户片机台。公司自主研发的Al Pad PVD目前正被用于武汉新芯先进存储器芯片生产线上关键的工艺制程,exTin H430 TiN PVD设备、eVictor A830 Al pad PVD设备收到海外主流IC厂订单,正式进入国际顶尖IC大产线。中微半导体(全称:中微半导体设备

有限公司)中微半导体致力于为全球集成电路和LED芯片制造商提供领先的加工设备和工艺技术解决方案。中微自主研发的等离子体刻蚀设备和硅通孔刻蚀设备已在国际主要芯

片制造和封测厂商的生产线上广泛应用于45纳米到1X纳米及更先进的加工工艺和最先进的封装工艺。截止2015年7

月10日,中微的设备交付量突破400台。这400台已在全球33条客户生产线上投入运行,包括电介质刻蚀设备、硅通孔刻蚀设备。公司的客户遍布中国大陆、中国台湾、新加坡、日本、韩国及俄罗斯等国家和地区。此外,中微开发的用于大批量LED外延片生产和功率器件生产的MOCVD设备也已经在国内多条生产线上正常运行。上海微装(全称:上海微电子装备有限公司)公司成立于2002年,主要致力于大规模工业生产的投影光刻机研发、生产、销售与服务,公司产品可广泛应用于IC制造与先进封装、MEMS、3D-TSV、TFT-OLED、LED、Power Devices等制造领域。公司是大陆唯一具备步进投影光刻机量产技术的厂商,产品在先进封装、LED、MEMS、TFT-OLED等领域获得广泛应用,公司的先进封装光刻机在

大陆市场占有率超过80%,并且批量出口海外。晶盛机电(全称:浙江晶盛机电股份有限公司)公司是一家以“新材料、新装备”为战略发展目标,始终坚持“开拓创新、共铸辉煌”发展理念的高新技术企业。公司是国内领先、国际先进的高端半导体硅材料、光伏硅材料、LED检测与照明等高端智能

化装备和蓝宝石晶体材料供应商。

目前公司自主研制的装备产品有:全自动单晶硅生长炉、多晶硅铸锭炉、区熔硅单晶炉、蓝宝石晶体炉、单晶硅棒切磨复合加工一体机、金刚线晶棒截断机、多晶块单线截断机、生产车间的远程监控智能化信息化管理系统、LED芯片自动检测、封装、贴片、包装以及灯具全自动生产线等系列高端装备。产品分别应用于光伏、半导体、IGBT功率器件、LED光电子以及蓝宝石窗口材料等领域。公司晶体生长设备产品已在有研半导体、天津环欧、台湾合晶、金瑞泓等知名半导体企业和中环股份、天合光能、晶科、南玻集团、英利能源、新疆新能源、保利协鑫、隆基、西安有色等大型光伏企业中广泛使用, 国内高端市场占有率第一。中电45所(全称:中国电子科技集团公司第四十五研究所)创立于1958年,是国内专门从事电子元器件关键工艺设备技术、设备整机系统以及设备应用工艺研究开发和生产制造的国家重点科研

生产单位。开发出了电子材料加工设备、芯片制造设备、化学处理设备、先进封装设备、电子图形印刷设备、晶体元器件等工艺设备和产品。中电48所(全称:中国电子科技集团公司第四十八研究所)创立于1964年,是我国主要以集成电路、半导体照明、太阳能光伏、磁性材料、新型储能材料、特种传感器和SOI材料等技术为主的骨干科研生产机构,是我国唯一以离子注入机为主的微电子装备供应商、以

MOCVD设备为主的光电子装备供应商,是我国最大的太阳

能光伏制造装备供应商、最大的磁性材料制造装备供应商。沈阳芯源(全称:沈阳芯源微电子设备有限公司)成立于2002年,自主开发了涂胶机、显影机、喷胶机、去胶机、湿法刻蚀机、单片清洗机、擦片机等产品,已形成完整的技术体系和丰富的产品系列,适应不同工艺等级的客户要求,广泛应用于

半导体生产、先进封装、MEMS、LED、OLED、3D-IC TSV、PV等领域。可满足300mm前道制程及300mm先进封装厚胶工艺制程。芯源产品已销往海内外内近百家客户,在国内半导体、高端封装及LED领域获得广泛应用。沈阳拓荆(全称:沈阳拓荆科技有限公司)于2010年4月28日组建,致力于研究和生产世界领先的极大规模集成电路行业专用薄膜设备。主营的产品是4-12英寸PECVD设备,其中4-6英寸PECVD设备经NOVELLUS授权已在国内实现规模生产,12英寸PECVD设备承担国家科技部“十一五”重大专项自主研发,拥有100%知识产权。

盛美半导体(全称:盛美半导体设备(上海)有限公司)盛美半

导体设备于1998年在美国硅谷创立,致力于无应力抛光(Ultra SFP)和电化学镀铜(Ultra ECP)技术的研究开发。在2006年与上海风投合作成立子公司盛美半导体设备, 开展半导体设备研究、开发、设计、制造、销售以及售后服务等业务,专注于湿法工艺设备,包括:无应力抛光设备,电化学镀铜设备和

单片兆声清洗设备。盛美的Ultra C单片清洗设备是第一台能精确控制兆声波能量均匀度的设备。其SAPS兆声波技术可以精确控制兆声波的能量,以非常均匀的能量分布(小于2%)能够确保有效的去除微颗粒而不会造成硅片微结构的破坏。

中科信(全称:北京中科信电子装备有限公司)于2003年6月成立,主要从事离子注入机、快速退火炉等装备研发和制造以及太阳能电池片及组件生产,具备光伏太阳能屋顶电站建设能力。实现了国产离子注入机制造水平由6英寸500nm到8英寸100nm技术的跨越,成功实现了国产离子注入装备从消化吸收到产业化阶段的跨越式发展。

凯世通(全称:上海凯世通半导体有限公司) 于2009年4月在张江成立,集科研、制造为一体,主要研制、生产和销售国际领先高端离子注入技术设备,包括应用高效太阳能电池、AMOLED平板显示和集成电路制程的关键设备——离子注入机。凯世通的离子注入机及相应的电池制作工艺实现

330W电池组件输出功率,代表了行业此类电池的的最高水平。

华海清科(全称:天津华海清科机电科技有限公司)

成立于2013年,主要从事CMP设备的研发、生产、销售与服务。华海清科为相关领域的企业、高校和科研院所提供先进的CMP设备及工艺的集成解决方案,是目前国内唯一具备

研发和生产12英寸(300mm)铜CMP整机设备能力的企业。公司产品可广泛应用于IC制造、TSV/3D封装、MEMS、晶圆、基片等制造领域。中电二所(全称:中国电子科技集团公司第二研究所)成立于1962年,是专业从事电子专用设备研发制造的国家级研究所。致力于国家军事电子和信息产业的发展,在机、电、光、热一体化设计,设备研发及工艺等方面不断探索研究,在微电子组装设备、液晶显示器生产设备、真空焊接设备、清洗和表面处理设备以及立体仓储设备研发领域形成了优势和特色,可为用户提供工艺和设备的系统集成服务。

大族激光(全称:大族激光科技产业集团股份有限公司)1996年创立于深圳,为国内外客户提供一整套激光加工解决方案及相关配套设施,主要产品包括:激光打标机系列、激光焊接机系列、激光切割机系列、激光演示系列、PCB激光钻孔机系列等多个系列200余种工业激光设备及其配套产品,广泛应用于IT制造、电子电路等行业。

大族激光投资设立的大族光电设备有限公司,集LED和半导体封装设备研发、生产和销售为一体的国家级高新技术企业。公司旗下产品“HANS”系列高速平面固晶机、高速全自动直插焊线机、高速全自动平面焊线机、高速SMD分光机、高速SMD装带机经过数年的优化与改良,现已牢牢占据国内市场领先地位。

上海新阳(全称:上海新阳半导体材料股份有限公司)创立于1999年7月,拥有自主知识产权的电子电镀和电子清洗核心技术,先后开发研制出四大系列100多种电子化学品与30多种配套设备产品,形成了完整的技术体系和丰富的产品系列。用于晶圆电镀的高纯铜电镀液和添加剂系列产品达到世界

领先水平, 成为中国半导体封装化学材料和表面处理设备行业的知名品牌。上海新阳正致力于TSV、Bumping、MEMS、Solar 等晶圆电镀、光刻胶剥离清洗等工艺所需高纯电子化学品与应用技术的开发。

今年3月,上海新阳与硅密四新成立合资公司发展晶圆级湿

制程设备。新阳出资900万元人民币,持有45%的股份;硅密四新出资1100万元人民币,拥有55%的股权。硅密四新背后有美系技术支持,项目首期投资1亿元建设每年24台设备的生产线,资金来源由原股东按比例认购,成为国内首家湿法设备供应商。目标实现五年累计实现税后净利润9525万元人民币,其中归属于上市公司年均利润857万元。伟仕泰克(全称:苏州伟仕泰克电子科技股份有限公司)公司成立于2006年,2014年6月完成股改,成为股份制公司,2015年11月成功登陆新三板(股票代码:834292)。伟仕泰克是一家为半导体、平板显示(FPD)、LED、电子化学品等领域客户提供工艺设备、系统方案的科技型高端装备制造企业。

公司是中国一流湿制程设备供应商。产品主要是湿制程设备

及配件,湿制程设备主要包括FPD生产设备、LED生产设备和电子化学品供应系统。上海微松(全称:上海微松工业自动化有限公司)上海微松公司于2010年创立,致力于WLP、TSV、BGA、MEMS、Solar等晶圆处理工艺所需专用设备与应用技术的开发。公司用于WLP晶圆级封装的高端设备“晶圆级微球植球机”系列产品达到世界领先水平,可用于12英寸,是先进封装设备领域的领导者。长川科技(全称:杭州长川科技股份有限公司)公司是一家专注于集成电路装备研发、生产和销售的高新技术企业和软件企业。公司主要产品为集成电路专用设备,主要包括测试机和分选机。公司自2008年4月成立以来, 一直致力于集成电路专用设备的自主研发和创新。2010年9月,公司自主研发的“高精度集成电路测试系统”项目获得科技部科技型中小企业技术创新基金管理中心无偿资助;2012年,公司承担了2项国家科技重大专项的研究开发工作;2014年,公司自主研发的“高精度电源管理集成电路测试系统”项目获得浙江省科学技术厅“重大科技专项-高端装备制造技术”的立项支持。

格兰达(全称:格兰达科技集团有限公司)公司成立于1995年,专业从事精密机械装备的合约生产(合约代工),和半导体装备的研发制造(自主品牌)。公司配备了数百台精密数控加工中心CNC,形成了研发、设计、生产、制造等全套集成能力,成为世界一流的半导体、IT、OA、光学、通讯等领域客户的

供应商。

公司在半导体领域主要从事封装设备的研发和制造,产品涵盖激光标刻系列、晶圆检测系列、高压水去溢料系列、编带测试系列等其他非标辅助设备。劲拓股份(全称:深圳市劲拓自动化设备股份有限公司)劲拓股份是国内领先、国际一流的集研发、生产及销售为一体的智能装备系统和先进制造系统供应商,产品包括智能焊接机器人,高速点胶机,涂覆机等,可广泛应用于通讯, 可穿戴设备及消费类电子产品的制造和在线检测。劲拓股份的客户包括多家世界500强企业。公司的焊接设备和AOI设备目前主要用于PCBA领域,未来有望扩展到半导体封装领域。十一科技(全称:信息产业电子第十一设计研究院科技工程股份有限公司),半导体厂房设计和总承包的主要供应商,被太极实业收购十一科技的主营业务为工程总承包及工程设计咨询,是国内最大的集成电路工程设计院, 是国内率先整体改制的大型设计院,是国内著名的生物工程、硅产业工程设计院。目前主要服务于电子高科技(集成电路、功率半导体、LED、平板显示等)、新能源、生物制药、高端制造业、民用建筑等业务领域。十一科技积累了一批优质客户,如中国电科、中芯国际、中环光伏、西安隆基、LG、成都生物所、海力士、南京熊猫等国内外知名企业,与其形成了良好的合作关系。

在集成电路领域,十一科技参与了大陆地区多数晶圆制造和

相关文档
最新文档